Commit 2113b3eb authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

added reset value option (single-bit registers only, wip)

parent 4bc4cbc9
......@@ -129,7 +129,8 @@ function gen_hdl_code_bit(field, reg)
field.write_code = { --va(vi("rddata_reg", field.offset), vundefined()),
va(prefix.."_int", vi("wrdata_reg", field.offset)) };
field.read_code = { va(vi("rddata_reg", field.offset), prefix.."_int") };
field.reset_code_main = { va(prefix.."_int", 0) };
print("RV: ", field.reset_value)
field.reset_code_main = { va(prefix.."_int", csel(field.reset_value == nil, 0, field.reset_value)) };
field.extra_code = { va(prefix.."_o", prefix.."_int") };
elseif (field.access == ACC_RO_WO) then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment