Commit fa8b16ac authored by Tristan Gingold's avatar Tristan Gingold

Update review.

parent 5f73a25c
- top VME64x core entity is called "VME64xCore_Top" while
svec/hdl/top/vmecore_test/ instantiates vme64xcore_top. Make it the same (all
lower-case?) for easier grepping.
OK.
Done.
- check comments in all the files, some of them are not complete or out of date
OK.
- shall we have some reference design in the VME64x core repo? With necessary
......@@ -9,7 +9,7 @@
svec vmecore_test)
Points to the svec repo.
- vme64x_pack.vhd -> we usually call these things *_pkg.vhd
OK
Done.
- from files headers remove _last changes_ and _TODO_ sections, anyway, they are
empty.
OK.
......
......@@ -20,9 +20,9 @@
* VME64x core
- get rid of SVEC_ID, CERN_ID etc from package and default generic values
OK for default generic
Done. OK for default generic
- what happens if the c_CLOCK_PERIOD is wrong? maybe it's better to get rid of it, set g_CLOCK_PERIOD by default to zero and assert that it is set to something else by user
OK.
Done. OK.
- shal we name/label all processes?
NO, but...
** VME64xCore_top.vhd
......@@ -34,12 +34,13 @@
No problem, discussion about width postponed.
*** VME_CR_CSR_Space.vhd
- delete unused port vme_sysfail_ena_o?
OK.
Done.
* Simulation
- how am I supposed to run it? A README would help
Done.
- why open the GUI at the end of make?
OK.
Done.
- why keep around VME BFM if you are not using it? Why the SVEC-specific file in the VME BFM?
Removed. To be restored.
- why not (also) GHDL if Modelsim is not necessary?
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment