Commit e2962d50 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

HDL: tie custom ader_i input to sane default value if not used

parent 5ffce7a4
......@@ -120,6 +120,9 @@ package vme64x_pkg is
type t_ader_array is
array (t_vme_func_index range <>) of std_logic_vector(31 downto 0);
constant c_default_ader_array : t_ader_array(0 to 7) :=
( others => (others => '0' ) );
type t_vme64x_in is record
as_n : std_logic;
rst_n : std_logic;
......
......@@ -148,8 +148,7 @@ entity xvme64x_core is
-- pulse to the IRQ Generator.
irq_ack_o : out std_logic;
ader_i : in t_ader_array(0 to 7);
ader_i : in t_ader_array(0 to 7) := c_default_ader_array;
-- User CSR
-- The following signals are used when g_USER_CSR_EXT = true
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment