Commit c231af74 authored by Matthieu Cattin's avatar Matthieu Cattin

hdl: Add missing wishbone package declaration.

parent dce9d635
......@@ -37,6 +37,7 @@
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use WORK.wishbone_pkg.all;
package xvme64x_core_pkg is
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment