Commit ae052e76 authored by Tom Levens's avatar Tom Levens

Add new generic to component declaration

Signed-off-by: Tom Levens's avatarTom Levens <tom.levens@cern.ch>
parent c3d44ce4
......@@ -184,6 +184,7 @@ package vme64x_pkg is
generic (
g_CLOCK_PERIOD : natural;
g_DECODE_AM : boolean := true;
g_ENABLE_CR_CSR : boolean := true;
g_USER_CSR_EXT : boolean := false;
g_WB_GRANULARITY : t_wishbone_address_granularity;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment