Commit a9f18940 authored by Tristan Gingold's avatar Tristan Gingold

vme_bus: add raw ds input.

parent 8a4cc17f
...@@ -51,6 +51,7 @@ entity vme_bus is ...@@ -51,6 +51,7 @@ entity vme_bus is
vme_retry_oe_o : out std_logic; vme_retry_oe_o : out std_logic;
vme_write_n_i : in std_logic; vme_write_n_i : in std_logic;
vme_ds_n_i : in std_logic_vector(1 downto 0); vme_ds_n_i : in std_logic_vector(1 downto 0);
vme_nsync_ds_n_i : in std_logic_vector(1 downto 0); -- Not synch.
vme_dtack_n_o : out std_logic; vme_dtack_n_o : out std_logic;
vme_dtack_oe_o : out std_logic; vme_dtack_oe_o : out std_logic;
vme_berr_n_o : out std_logic; vme_berr_n_o : out std_logic;
......
...@@ -334,6 +334,7 @@ begin ...@@ -334,6 +334,7 @@ begin
vme_retry_oe_o => vme_o.retry_oe, vme_retry_oe_o => vme_o.retry_oe,
vme_write_n_i => s_vme_write_n, vme_write_n_i => s_vme_write_n,
vme_ds_n_i => s_vme_ds_n, vme_ds_n_i => s_vme_ds_n,
vme_nsync_ds_n_i => vme_i.ds_n, -- Not synchronized.
vme_dtack_n_o => vme_o.dtack_n, vme_dtack_n_o => vme_o.dtack_n,
vme_dtack_oe_o => vme_o.dtack_oe, vme_dtack_oe_o => vme_o.dtack_oe,
vme_berr_n_o => s_vme_berr_n, vme_berr_n_o => s_vme_berr_n,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment