Commit a0ca042e authored by Tristan Gingold's avatar Tristan Gingold
parent ca57317a
......@@ -246,11 +246,12 @@ architecture rtl of xvme64x_core is
signal s_vme_iackin_n : std_logic;
-- List of supported AM.
-- (use downto in the aggregate as a workaround Vivado 2020 bug).
constant c_AMCAP_ALLOWED : std_logic_vector(63 downto 0) :=
(16#38# to 16#3f# => '1', -- A24
(16#3f# downto 16#38# => '1', -- A24
16#2d# | 16#29# => '1', -- A16
16#20# => f_to_std_logic(g_VME32 and g_VME_2e),
16#08# to 16#0f# => f_to_std_logic(g_VME32), -- A32
16#0f# downto 16#08# => f_to_std_logic(g_VME32), -- A32
others => '0');
begin
assert g_CLOCK_PERIOD > 0 report "g_CLOCK_PERIOD generic must be set"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment