Commit 8f0ec377 authored by Tom Levens's avatar Tom Levens

Use g_clock generic for IRQ timeout

Small bugfix. The IRQ timeout was hardcoded and didn't take into account
different clock periods which could be set with the g_clock generic.
Signed-off-by: Tom Levens's avatarTom Levens <tom.levens@cern.ch>
parent 6d88bf97
......@@ -446,11 +446,11 @@ begin
------------------------------------------------------------------------------
Inst_VME_IRQ_Controller : VME_IRQ_Controller
generic map (
g_retry_timeout => 62500 -- 1ms timeout
g_retry_timeout => 1000000/g_clock -- 1ms timeout
)
port map (
clk_i => clk_i,
reset_n_i => s_reset_IRQ, -- asserted when low
reset_n_i => s_reset_IRQ, -- asserted when low
VME_IACKIN_n_i => s_VME_IACKIN_n(2),
VME_AS_n_i => s_VME_AS_n(2),
VME_DS_n_i => s_VME_DS_n(5 downto 4),
......
......@@ -129,7 +129,7 @@ use work.vme64x_pack.all;
entity VME_IRQ_Controller is
generic (
g_retry_timeout : integer range 1024 to 16777215 := 62500
g_retry_timeout : integer range 1024 to 16777215
);
port (
clk_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment