Commit 8122815a authored by dpedrett's avatar dpedrett

VME64x testbench updated

git-svn-id: http://svn.ohwr.org/vme64x-core/trunk@158 665b4545-5c6b-4c24-801b-41150b02b44b
parent fab6cb51
......@@ -722,7 +722,7 @@ BEGIN
s_dataTransferType <= D08Byte3;
s_AddressingType <= CR_CSR;
s_dataToReceive <= x"00000000";
s_dataToReceive <= x"00000001";
ReadCR_CSR(c_address => c_WB32or64, s_dataToReceive => s_dataToReceive, s_dataTransferType => s_dataTransferType,
s_AddressingType => s_AddressingType, VME64xBus_In => VME64xBus_In,
VME64xBus_Out => VME64xBus_Out);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment