Commit 5f73a25c authored by Tristan Gingold's avatar Tristan Gingold

Get rid of vme_sysfail (unused).

parent df1f3a4e
...@@ -133,8 +133,6 @@ entity vme_cr_csr_space is ...@@ -133,8 +133,6 @@ entity vme_cr_csr_space is
vme_ga_i : in std_logic_vector(5 downto 0); vme_ga_i : in std_logic_vector(5 downto 0);
vme_berr_n_i : in std_logic; vme_berr_n_i : in std_logic;
bar_o : out std_logic_vector(4 downto 0); bar_o : out std_logic_vector(4 downto 0);
vme_sysfail_i : in std_logic;
vme_sysfail_ena_o : out std_logic;
module_enable_o : out std_logic; module_enable_o : out std_logic;
module_reset_o : out std_logic; module_reset_o : out std_logic;
...@@ -428,16 +426,13 @@ begin ...@@ -428,16 +426,13 @@ begin
s_reg_bit_reg(c_BERR_BIT) <= '1'; s_reg_bit_reg(c_BERR_BIT) <= '1';
end if; end if;
if vme_sysfail_i = '1' then -- Could handle sysfail (if it was supported).
s_reg_bit_reg(c_FAILED_BIT) <= '1';
end if;
end if; end if;
end if; end if;
end process; end process;
bar_o <= s_reg_bar(7 downto 3); bar_o <= s_reg_bar(7 downto 3);
module_enable_o <= s_reg_bit_reg(c_ENABLE_BIT); module_enable_o <= s_reg_bit_reg(c_ENABLE_BIT);
vme_sysfail_ena_o <= s_reg_bit_reg(c_SYSFAIL_EN_BIT);
module_reset_o <= s_reg_bit_reg(c_RESET_BIT); module_reset_o <= s_reg_bit_reg(c_RESET_BIT);
gen_ader_o: for i in s_reg_ader'range generate gen_ader_o: for i in s_reg_ader'range generate
......
...@@ -468,8 +468,6 @@ begin ...@@ -468,8 +468,6 @@ begin
vme_ga_i => vme_i.ga, vme_ga_i => vme_i.ga,
vme_berr_n_i => s_vme_berr_n, vme_berr_n_i => s_vme_berr_n,
bar_o => s_bar, bar_o => s_bar,
vme_sysfail_i => '0',
vme_sysfail_ena_o => open,
module_enable_o => s_module_enable, module_enable_o => s_module_enable,
module_reset_o => s_module_reset, module_reset_o => s_module_reset,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment