Commit 55e08827 authored by Tom Levens's avatar Tom Levens

Fix wrong end entity name

parent f85c29db
......@@ -100,7 +100,7 @@ entity vme64x_core_verilog is
return BYTE ;
end if;
end string_to_wb_grn ;
end vme64x_core_wrap;
end vme64x_core_verilog;
architecture wrapper of vme64x_core_verilog is
begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment