Commit 3dd0b23a authored by Tristan Gingold's avatar Tristan Gingold

sim: cleanup in vme bfm.

parent 4d39f9df
......@@ -18,8 +18,6 @@ module bidir_buf(
endmodule // bidir_buf
module svec_vme_buffers (
output VME_AS_n_o,
output VME_RST_n_o,
......@@ -92,7 +90,7 @@ module svec_vme_buffers (
bidir_buf #(1) b0 (slave.lword_n, VME_LWORD_n_b, VME_ADDR_DIR_i, VME_ADDR_OE_N_i);
bidir_buf #(31) b1 (slave.addr, VME_ADDR_b, VME_ADDR_DIR_i, VME_ADDR_OE_N_i);
bidir_buf #(33) b2 (slave.data, VME_DATA_b, VME_DATA_DIR_i, VME_DATA_OE_N_i);
bidir_buf #(32) b2 (slave.data, VME_DATA_b, VME_DATA_DIR_i, VME_DATA_OE_N_i);
pulldown(VME_BERR_i);
pulldown(VME_ADDR_DIR_i);
......@@ -115,6 +113,7 @@ endmodule
wire VME_WRITE_n;\
wire [5:0] VME_AM;\
wire [1:0] VME_DS_n;\
wire [5:0] VME_GA; \
wire VME_BERR;\
wire VME_DTACK_n;\
wire VME_RETRY_n;\
......@@ -136,6 +135,7 @@ endmodule
.VME_RST_n_o(VME_RST_n),\
.VME_WRITE_n_o(VME_WRITE_n),\
.VME_AM_o(VME_AM),\
.VME_GA_o(VME_GA),\
.VME_DS_n_o(VME_DS_n),\
.VME_BERR_i(VME_BERR),\
.VME_DTACK_n_i(VME_DTACK_n),\
......
`ifndef __VME64X_BFM_SVH
`define __VME64X_BFM_SVH 1
`define __VME64X_BFM_SVH 1
`timescale 1ns/1ps
......@@ -19,9 +19,6 @@ begin\
end
interface IVME64X ( input sys_rst_n_i );
wire as_n;
......@@ -324,10 +321,8 @@ class CBusAccessor_VME64x extends CBusAccessor;
// $display("RWG %x\n", _data.size());
for(i=0;i<_data.size();i++)
begin
if(write)
vme.q_data = (dtype == D08Byte0 || dtype == D08Byte2) ? (_data[i] << 8) : (_data[i]);
......@@ -341,8 +336,7 @@ class CBusAccessor_VME64x extends CBusAccessor;
if(!write)
_data[i] = (dtype == D08Byte0 || dtype == D08Byte2) ? (vme.data >> 8) : (vme.data);
#40ns;
end // for (i=0;i<_data.size();i++)
end // for (i=0;i<_data.size();i++)
release_bus();
endtask // rw_generic
......@@ -407,7 +401,6 @@ class CBusAccessor_VME64x extends CBusAccessor;
//$display("AM=%x", size);
da= new[1];
aa[0] = addr;
......@@ -422,10 +415,8 @@ class CBusAccessor_VME64x extends CBusAccessor;
// $display("VMEWrite s %x", size);
aa[0] = addr;
da[0] = data;
writem(aa, da, size, result);
endtask
......@@ -447,17 +438,8 @@ class CBusAccessor_VME64x extends CBusAccessor;
acknowledge_irq(level, vector);
$display("vme64x_bfm: vector %x", vector);
done = 1;
end
endtask // handle_irqs
endtask // handle_irqs
endclass // CBusAccessor_VME64x
`endif // `ifndef __VME64X_BFM_SVH
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment