Commit 306da800 authored by Tristan Gingold's avatar Tristan Gingold

VME_IRQ_Controller: formatting.

parent 03b1ca39
......@@ -68,27 +68,27 @@
--
-- Time constraint n° 35:
--
-- Clk _____ _____ _____ _____ _____ _____
-- _____| |_____| |_____| |_____| |_____| |_____| |_____
-- Clk ____ ____ ____ ____ ____ ____
-- _____| |____| |____| |____| |____| |____| |_____
--
-- VME_AS1_n_i ____________________________________________________________________
-- ________|
-- VME_AS1_n_i __________________________________________________________
-- _______|
--
-- VME_AS_n_i ___________________________________
-- _________________________________________|
-- VME_AS_n_i ______________________________
-- ___________________________________|
--
-- s_AS_RisingEdge ___________
-- _____________________________________________________| |___________
-- s_AS_RisingEge _________
-- _____________________________________________| |__________
--
-- s_IACKOUT ____________________________________________________________________
-- ________|
-- s_IACKOUT __________________________________________________________
-- _______|
--
-- VME_IACKOUT_o ____________________________________________________________________
-- ________|
-- VME_IACKOUT_ __________________________________________________________
-- _______|
--
-- _________________________________________________________________ __________
-- IACKOUT 1/2 \/ IDLE/IRQ
-- _________________________________________________________________/\__________
-- _______________________________________________________ _________
-- IACKOUT 1/2 \/ IDLE/IRQ
-- _______________________________________________________/\_________
--
-- To respect the time constraint indicated with the number 35 fig. 55 pag. 183
-- in the "VMEbus Specification" ANSI/IEEE STD1014-1987, is necessary to
......@@ -254,6 +254,8 @@ begin
if INT_Req_i = '1' and retry_mask = '1' then
if VME_IACKIN_n_i /= '0' then
-- FIXME: what if INT_Level_i is 0 (irq won't be visible and
-- thus never acked) ?
state <= IRQ;
VME_IRQ_n_o <= f_select_irq_line(INT_Level_i);
else
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment