Commit 2f199fec authored by Mathieu Saccani's avatar Mathieu Saccani

Leave card_sel open

parent 2ff3ca1b
......@@ -113,7 +113,7 @@ entity vme_bus is
irq_ack_o : out std_logic;
--Card selection
card_sel_o : out std_logic;
card_sel_o : out std_logic
);
end vme_bus;
......
......@@ -388,7 +388,8 @@ begin
int_level_i => s_irq_level,
int_vector_i => s_irq_vector,
irq_pending_i => s_irq_pending,
irq_ack_o => s_irq_ack);
irq_ack_o => s_irq_ack,
card_sel_o => open);
s_reset_n <= rst_n_i and s_vme_rst_n;
rst_n_o <= s_reset_n and (not s_module_reset);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment