Commit 258f8f68 authored by Dimitris Lampridis's avatar Dimitris Lampridis

Add missing separate interrupt input line to component declaration in vme64x package as well

parent 58d3d619
......@@ -215,6 +215,8 @@ package vme64x_pkg is
wb_i : in t_wishbone_master_in;
wb_o : out t_wishbone_master_out;
int_i : in std_logic;
irq_ack_o : out std_logic;
irq_level_i : in std_logic_vector( 2 downto 0) := (others => '0');
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment