Commit bc0aef8a authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

fix a typo

parent 248e8d09
...@@ -23,6 +23,6 @@ ...@@ -23,6 +23,6 @@
// SPARTAN6 - Xilinx Spartan-6 FPGA // SPARTAN6 - Xilinx Spartan-6 FPGA
// GENERIC - Generic, HW-independent // GENERIC - Generic, HW-independent
`define URV_PLATFORM_SPARTAN6 1 `define URV_PLATFORM_GENERIC 1
//`define URV_PLATFORM_ALTERA 1 //`define URV_PLATFORM_ALTERA 1
...@@ -299,7 +299,7 @@ module urv_exec ...@@ -299,7 +299,7 @@ module urv_exec
.d_rs1_i(rs1), .d_rs1_i(rs1),
.d_rs2_i(rs2), .d_rs2_i(rs2),
.d_fun_i(d_fun), .d_fun_i(d_fun_i),
.w_rd_o (w_rd_multiply_o) .w_rd_o (w_rd_multiply_o)
); );
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment