Fix ring oscillator setup

parent f2c8bd6f
......@@ -49,7 +49,7 @@ entity tdc is
-- Number of coarse counter bits.
g_COARSE_COUNT : positive := 25;
-- Length of each ring oscillator.
g_RO_LENGTH : positive := 20;
g_RO_LENGTH : positive := 31;
-- Frequency counter width.
g_FCOUNTER_WIDTH : positive := 13;
-- Frequency counter timer width.
......
......@@ -66,7 +66,7 @@ begin
);
cmp_ringosc: tdc_ringosc
generic map(
g_LENGTH => 401
g_LENGTH => 31
)
port map(
en_i => en,
......
......@@ -462,7 +462,7 @@ tdc_hostif #(
.g_RAW_COUNT(9),
.g_FP_COUNT(13),
.g_COARSE_COUNT(25),
.g_RO_LENGTH(20),
.g_RO_LENGTH(31),
.g_FCOUNTER_WIDTH(13),
.g_FTIMER_WIDTH(10)
) tdc (
......
......@@ -45,7 +45,7 @@ entity tdc_hostif is
g_RAW_COUNT : positive := 9;
g_FP_COUNT : positive := 13;
g_COARSE_COUNT : positive := 25;
g_RO_LENGTH : positive := 20;
g_RO_LENGTH : positive := 31;
g_FCOUNTER_WIDTH : positive := 13;
g_FTIMER_WIDTH : positive := 10
);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment