From 778bdf2c682ef7440c96367a59b36bcdc5056f20 Mon Sep 17 00:00:00 2001 From: Sebastien Bourdeauducq Date: Tue, 2 Aug 2011 19:53:10 +0200 Subject: [PATCH] hdlmake simulation test --- tb/lbc/Manifest.py | 5 +++++ tb/lbc/tb_lbc.vhd | 29 +++++++++++++++++++++++++++++ tb/tb_lbc.vhd | 0 3 files changed, 34 insertions(+) create mode 100644 tb/lbc/Manifest.py create mode 100644 tb/lbc/tb_lbc.vhd delete mode 100644 tb/tb_lbc.vhd diff --git a/tb/lbc/Manifest.py b/tb/lbc/Manifest.py new file mode 100644 index 0000000..a0262b2 --- /dev/null +++ b/tb/lbc/Manifest.py @@ -0,0 +1,5 @@ +action = "simulation" +target = "ghdl" + +files = "tb_lbc.vhd" + diff --git a/tb/lbc/tb_lbc.vhd b/tb/lbc/tb_lbc.vhd new file mode 100644 index 0000000..9fa6b77 --- /dev/null +++ b/tb/lbc/tb_lbc.vhd @@ -0,0 +1,29 @@ +------------------------------------------------------------------------------- +-- TDC Core / CERN +------------------------------------------------------------------------------- +-- +-- unit name: tb_lbc +-- +-- author: Sebastien Bourdeauducq, sebastien@milkymist.org +-- +-- description: Test bench for leading bit counter +-- +-- references: http://www.ohwr.org/projects/tdc-core +-- +------------------------------------------------------------------------------- +-- last changes: +-- 2011-08-03 SB Created file +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity tb_lbc is +end entity; + +architecture tb of tb_lbc is +begin + process begin + report "hello world"; + end process; +end architecture; diff --git a/tb/tb_lbc.vhd b/tb/tb_lbc.vhd deleted file mode 100644 index e69de29..0000000 -- 2.18.1