rotest: enable terminations, lower frequency

parent 09697e8e
......@@ -4,3 +4,5 @@ NET "led" LOC = G19 | IOSTANDARD = "LVCMOS18";
NET "out_p" LOC = W17 | IOSTANDARD = "LVDS_25";
NET "out_n" LOC = Y18 | IOSTANDARD = "LVDS_25";
NET "oe_n" LOC = V17 | IOSTANDARD = "LVCMOS25";
NET "term_e1" LOC = AB5 | IOSTANDARD = "LVCMOS25";
NET "term_e2" LOC = AB6 | IOSTANDARD = "LVCMOS25";
......@@ -48,7 +48,10 @@ entity rotest is
out_p : out std_logic;
out_n : out std_logic;
oe_n : out std_logic
oe_n : out std_logic;
term_e1 : out std_logic;
term_e2 : out std_logic
);
end entity;
......@@ -66,7 +69,7 @@ begin
);
cmp_ringosc: tdc_ringosc
generic map(
g_LENGTH => 31
g_LENGTH => 131
)
port map(
en_i => en,
......@@ -74,4 +77,7 @@ begin
);
led <= not en;
oe_n <= '0';
term_e1 <= '1';
term_e2 <= '1';
end architecture;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment