hostif: reduce max channels to 8

parent 52efcaf6
#!/usr/bin/python
nchan = 30
nchan = 8
for i in range(0,nchan):
print "tdc_desh%d_o => wbg_des(%d downto %d)," % (i, i*64+63, i*64+32)
......
#!/usr/bin/python
nchan = 30
nchan = 8
print "peripheral {"
print " name = \"TDC\";"
......
......@@ -12,6 +12,7 @@
--
-------------------------------------------------------------------------------
-- last changes:
-- 2011-08-27 SB Reduced supported channel count to 8
-- 2011-08-26 SB Created file
-------------------------------------------------------------------------------
......@@ -39,7 +40,7 @@ entity tdc_hostif is
rst_n_i : in std_logic;
wb_clk_i : in std_logic;
wb_addr_i : in std_logic_vector(7 downto 0);
wb_addr_i : in std_logic_vector(5 downto 0);
wb_data_i : in std_logic_vector(31 downto 0);
wb_data_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
......@@ -87,7 +88,7 @@ signal wbg_fcr : std_logic_vector(31 downto 0);
signal wbg_fcsr : std_logic_vector(31 downto 0);
-- maximum number of channels the host interface can support
constant c_NCHAN: positive := 30;
constant c_NCHAN: positive := 8;
signal wbg_des : std_logic_vector(c_NCHAN*64-1 downto 0);
signal wbg_pol : std_logic_vector(c_NCHAN-1 downto 0);
......@@ -187,50 +188,6 @@ begin
tdc_desl6_o => wbg_des(415 downto 384),
tdc_desh7_o => wbg_des(511 downto 480),
tdc_desl7_o => wbg_des(479 downto 448),
tdc_desh8_o => wbg_des(575 downto 544),
tdc_desl8_o => wbg_des(543 downto 512),
tdc_desh9_o => wbg_des(639 downto 608),
tdc_desl9_o => wbg_des(607 downto 576),
tdc_desh10_o => wbg_des(703 downto 672),
tdc_desl10_o => wbg_des(671 downto 640),
tdc_desh11_o => wbg_des(767 downto 736),
tdc_desl11_o => wbg_des(735 downto 704),
tdc_desh12_o => wbg_des(831 downto 800),
tdc_desl12_o => wbg_des(799 downto 768),
tdc_desh13_o => wbg_des(895 downto 864),
tdc_desl13_o => wbg_des(863 downto 832),
tdc_desh14_o => wbg_des(959 downto 928),
tdc_desl14_o => wbg_des(927 downto 896),
tdc_desh15_o => wbg_des(1023 downto 992),
tdc_desl15_o => wbg_des(991 downto 960),
tdc_desh16_o => wbg_des(1087 downto 1056),
tdc_desl16_o => wbg_des(1055 downto 1024),
tdc_desh17_o => wbg_des(1151 downto 1120),
tdc_desl17_o => wbg_des(1119 downto 1088),
tdc_desh18_o => wbg_des(1215 downto 1184),
tdc_desl18_o => wbg_des(1183 downto 1152),
tdc_desh19_o => wbg_des(1279 downto 1248),
tdc_desl19_o => wbg_des(1247 downto 1216),
tdc_desh20_o => wbg_des(1343 downto 1312),
tdc_desl20_o => wbg_des(1311 downto 1280),
tdc_desh21_o => wbg_des(1407 downto 1376),
tdc_desl21_o => wbg_des(1375 downto 1344),
tdc_desh22_o => wbg_des(1471 downto 1440),
tdc_desl22_o => wbg_des(1439 downto 1408),
tdc_desh23_o => wbg_des(1535 downto 1504),
tdc_desl23_o => wbg_des(1503 downto 1472),
tdc_desh24_o => wbg_des(1599 downto 1568),
tdc_desl24_o => wbg_des(1567 downto 1536),
tdc_desh25_o => wbg_des(1663 downto 1632),
tdc_desl25_o => wbg_des(1631 downto 1600),
tdc_desh26_o => wbg_des(1727 downto 1696),
tdc_desl26_o => wbg_des(1695 downto 1664),
tdc_desh27_o => wbg_des(1791 downto 1760),
tdc_desl27_o => wbg_des(1759 downto 1728),
tdc_desh28_o => wbg_des(1855 downto 1824),
tdc_desl28_o => wbg_des(1823 downto 1792),
tdc_desh29_o => wbg_des(1919 downto 1888),
tdc_desl29_o => wbg_des(1887 downto 1856),
tdc_raw0_i => wbg_raw(31 downto 0),
tdc_mesh0_i => wbg_mes(63 downto 32),
tdc_mesl0_i => wbg_mes(31 downto 0),
......@@ -255,72 +212,6 @@ begin
tdc_raw7_i => wbg_raw(255 downto 224),
tdc_mesh7_i => wbg_mes(511 downto 480),
tdc_mesl7_i => wbg_mes(479 downto 448),
tdc_raw8_i => wbg_raw(287 downto 256),
tdc_mesh8_i => wbg_mes(575 downto 544),
tdc_mesl8_i => wbg_mes(543 downto 512),
tdc_raw9_i => wbg_raw(319 downto 288),
tdc_mesh9_i => wbg_mes(639 downto 608),
tdc_mesl9_i => wbg_mes(607 downto 576),
tdc_raw10_i => wbg_raw(351 downto 320),
tdc_mesh10_i => wbg_mes(703 downto 672),
tdc_mesl10_i => wbg_mes(671 downto 640),
tdc_raw11_i => wbg_raw(383 downto 352),
tdc_mesh11_i => wbg_mes(767 downto 736),
tdc_mesl11_i => wbg_mes(735 downto 704),
tdc_raw12_i => wbg_raw(415 downto 384),
tdc_mesh12_i => wbg_mes(831 downto 800),
tdc_mesl12_i => wbg_mes(799 downto 768),
tdc_raw13_i => wbg_raw(447 downto 416),
tdc_mesh13_i => wbg_mes(895 downto 864),
tdc_mesl13_i => wbg_mes(863 downto 832),
tdc_raw14_i => wbg_raw(479 downto 448),
tdc_mesh14_i => wbg_mes(959 downto 928),
tdc_mesl14_i => wbg_mes(927 downto 896),
tdc_raw15_i => wbg_raw(511 downto 480),
tdc_mesh15_i => wbg_mes(1023 downto 992),
tdc_mesl15_i => wbg_mes(991 downto 960),
tdc_raw16_i => wbg_raw(543 downto 512),
tdc_mesh16_i => wbg_mes(1087 downto 1056),
tdc_mesl16_i => wbg_mes(1055 downto 1024),
tdc_raw17_i => wbg_raw(575 downto 544),
tdc_mesh17_i => wbg_mes(1151 downto 1120),
tdc_mesl17_i => wbg_mes(1119 downto 1088),
tdc_raw18_i => wbg_raw(607 downto 576),
tdc_mesh18_i => wbg_mes(1215 downto 1184),
tdc_mesl18_i => wbg_mes(1183 downto 1152),
tdc_raw19_i => wbg_raw(639 downto 608),
tdc_mesh19_i => wbg_mes(1279 downto 1248),
tdc_mesl19_i => wbg_mes(1247 downto 1216),
tdc_raw20_i => wbg_raw(671 downto 640),
tdc_mesh20_i => wbg_mes(1343 downto 1312),
tdc_mesl20_i => wbg_mes(1311 downto 1280),
tdc_raw21_i => wbg_raw(703 downto 672),
tdc_mesh21_i => wbg_mes(1407 downto 1376),
tdc_mesl21_i => wbg_mes(1375 downto 1344),
tdc_raw22_i => wbg_raw(735 downto 704),
tdc_mesh22_i => wbg_mes(1471 downto 1440),
tdc_mesl22_i => wbg_mes(1439 downto 1408),
tdc_raw23_i => wbg_raw(767 downto 736),
tdc_mesh23_i => wbg_mes(1535 downto 1504),
tdc_mesl23_i => wbg_mes(1503 downto 1472),
tdc_raw24_i => wbg_raw(799 downto 768),
tdc_mesh24_i => wbg_mes(1599 downto 1568),
tdc_mesl24_i => wbg_mes(1567 downto 1536),
tdc_raw25_i => wbg_raw(831 downto 800),
tdc_mesh25_i => wbg_mes(1663 downto 1632),
tdc_mesl25_i => wbg_mes(1631 downto 1600),
tdc_raw26_i => wbg_raw(863 downto 832),
tdc_mesh26_i => wbg_mes(1727 downto 1696),
tdc_mesl26_i => wbg_mes(1695 downto 1664),
tdc_raw27_i => wbg_raw(895 downto 864),
tdc_mesh27_i => wbg_mes(1791 downto 1760),
tdc_mesl27_i => wbg_mes(1759 downto 1728),
tdc_raw28_i => wbg_raw(927 downto 896),
tdc_mesh28_i => wbg_mes(1855 downto 1824),
tdc_mesl28_i => wbg_mes(1823 downto 1792),
tdc_raw29_i => wbg_raw(959 downto 928),
tdc_mesh29_i => wbg_mes(1919 downto 1888),
tdc_mesl29_i => wbg_mes(1887 downto 1856),
irq_ie0_i => wbg_ie(0),
irq_ie1_i => wbg_ie(1),
irq_ie2_i => wbg_ie(2),
......@@ -328,29 +219,7 @@ begin
irq_ie4_i => wbg_ie(4),
irq_ie5_i => wbg_ie(5),
irq_ie6_i => wbg_ie(6),
irq_ie7_i => wbg_ie(7),
irq_ie8_i => wbg_ie(8),
irq_ie9_i => wbg_ie(9),
irq_ie10_i => wbg_ie(10),
irq_ie11_i => wbg_ie(11),
irq_ie12_i => wbg_ie(12),
irq_ie13_i => wbg_ie(13),
irq_ie14_i => wbg_ie(14),
irq_ie15_i => wbg_ie(15),
irq_ie16_i => wbg_ie(16),
irq_ie17_i => wbg_ie(17),
irq_ie18_i => wbg_ie(18),
irq_ie19_i => wbg_ie(19),
irq_ie20_i => wbg_ie(20),
irq_ie21_i => wbg_ie(21),
irq_ie22_i => wbg_ie(22),
irq_ie23_i => wbg_ie(23),
irq_ie24_i => wbg_ie(24),
irq_ie25_i => wbg_ie(25),
irq_ie26_i => wbg_ie(26),
irq_ie27_i => wbg_ie(27),
irq_ie28_i => wbg_ie(28),
irq_ie29_i => wbg_ie(29)
irq_ie7_i => wbg_ie(7)
-- end autogenerated connections
);
......
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment