Commit 87c2c296 authored by Dimitris Lampridis's avatar Dimitris Lampridis

Bump ip-cores to newer versions.

vme64x-core: bring in latest version by tgingold
general-cores: use a newer commit which includes gc_sync_register (needed in new VME64xCore_Top.vhd)
parent 7d8b290d
general-cores @ b833b5d8
Subproject commit e848b421868886e0d539a24c4978e62b0e48f2f2
Subproject commit b833b5d8a563d46284d463bee2efee300043e056
vme64x-core @ 61bd09b6
Subproject commit b2fc3ce76485404f831d15f7ce31fdde08e234d5
Subproject commit 61bd09b673e03a53259b9d870c4ecbd84c66cae0
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment