Commit 6890d830 authored by Dimitris Lampridis's avatar Dimitris Lampridis Committed by Tristan Gingold

Always drive all unused WB slave_o outputs to ground

parent 6d407b82
......@@ -102,7 +102,6 @@ begin
begin
if rising_edge(clk_sys_i) then
slave_o.ack <= '0';
slave_o.stall <= '0';
slave_o.err <= '0';
if rst_n_i = '0' then
......@@ -223,4 +222,10 @@ begin
leds_o <= leds;
irq_o <= '1' when counter = 1 else '0';
-- drive unused WB slave_o outputs
slave_o.stall <= '0';
slave_o.rty <= '0';
slave_o.int <= '0';
end rtl;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment