Commit 7be9981c authored by Pascal Bos's avatar Pascal Bos

Fixed the year 2000=100 issue.

parent df166074
Pipeline #3922 failed with stage
in 2 minutes and 7 seconds
......@@ -326,10 +326,10 @@ begin
years_buffer := (block_4_years(37 downto 0) & "00") + 2;
days <= std_logic_vector(remaining_days(8 downto 0)-1095+1);
end if;
if block_4_years <= 7 then
years_buffer := years_buffer + 70;
else
if years_buffer >= 30 then --Check if is before the year 2000 or after.
years_buffer := years_buffer - 30;
else
years_buffer := years_buffer + 70;
end if;
years <= std_logic_vector(years_buffer);
all_rdy(3) := '1';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment