Commit c54fb9ad authored by Dimitris Lampridis's avatar Dimitris Lampridis

fix file headers and license

parent 7095df9c
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Title : SPEC Golden Binary -- CERN BE-CO-HT
-- Project : WhiteRabbit -- SPEC
------------------------------------------------------------------------------- -- https://ohwr.org/projects/spec
-- File : spec_template.vhd --------------------------------------------------------------------------------
-- Company : CERN BE-CO-HT --
-- Created : 2012-07-17 -- unit name: spec_template
-- Last update: 2012-11-20 --
-- Platform : FPGA-generics -- description: SPEC carrier template, without WR.
-- Standard : VHDL --
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2019
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_1164.all;
......
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Title : SPEC template -- CERN BE-CO-HT
-- Project : SPEC -- SPEC
-- URL : http://www.ohwr.org/projects/spec -- https://ohwr.org/projects/spec
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Company : CERN (BE-CO-HT)
-------------------------------------------------------------------------------
-- Description: Top-level file for the SPEC carrier template.
-- --
-- SPEC: http://www.ohwr.org/projects/spec/ -- unit name: spec_template_wr
-- --
------------------------------------------------------------------------------- -- description: SPEC carrier template, with WR.
-- Copyright (c) 2017-2018 CERN
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
-- --
-- This source file is free software; you can redistribute it --------------------------------------------------------------------------------
-- and/or modify it under the terms of the GNU Lesser General -- Copyright CERN 2019
-- Public License as published by the Free Software Foundation; --------------------------------------------------------------------------------
-- either version 2.1 of the License, or (at your option) any -- Copyright and related rights are licensed under the Solderpad Hardware
-- later version. -- License, Version 2.0 (the "License"); you may not use this file except
-- -- in compliance with the License. You may obtain a copy of the License at
-- This source is distributed in the hope that it will be -- http://solderpad.org/licenses/SHL-2.0.
-- useful, but WITHOUT ANY WARRANTY; without even the implied -- Unless required by applicable law or agreed to in writing, software,
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- hardware and materials distributed under this License is distributed on an
-- PURPOSE. See the GNU Lesser General Public License for more -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- details. -- or implied. See the License for the specific language governing permissions
-- -- and limitations under the License.
-- You should have received a copy of the GNU Lesser General --------------------------------------------------------------------------------
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Title : SPEC full -- CERN BE-CO-HT
-- Project : SPEC -- SPEC
-- URL : http://www.ohwr.org/projects/spec -- https://ohwr.org/projects/spec
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Company : CERN (BE-CO-HT)
-- Created : 2017-02-20
-- Last update: 2019-07-16
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: SPEC full.
-- --
------------------------------------------------------------------------------- -- unit name: spec_full
-- Copyright (c) 2017-2018 CERN
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
-- --
-- This source file is free software; you can redistribute it -- description: SPEC "full" design, with access to all peripherals and features
-- and/or modify it under the terms of the GNU Lesser General -- of the carrier board.
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
-- --
-- This source is distributed in the hope that it will be --------------------------------------------------------------------------------
-- useful, but WITHOUT ANY WARRANTY; without even the implied -- Copyright CERN 2019
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR --------------------------------------------------------------------------------
-- PURPOSE. See the GNU Lesser General Public License for more -- Copyright and related rights are licensed under the Solderpad Hardware
-- details. -- License, Version 2.0 (the "License"); you may not use this file except
-- -- in compliance with the License. You may obtain a copy of the License at
-- You should have received a copy of the GNU Lesser General -- http://solderpad.org/licenses/SHL-2.0.
-- Public License along with this source; if not, download it -- Unless required by applicable law or agreed to in writing, software,
-- from http://www.gnu.org/licenses/lgpl-2.1.html -- hardware and materials distributed under this License is distributed on an
-- -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
------------------------------------------------------------------------------- -- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Title : SPEC Golden Binary -- CERN BE-CO-HT
------------------------------------------------------------------------------- -- SPEC
-- File : spec_golden.vhd -- https://ohwr.org/projects/spec
-- Company : CERN BE-CO-HT --------------------------------------------------------------------------------
-- Platform : FPGA-generics --
-- Standard : VHDL -- unit name: spec_golden
------------------------------------------------------------------------------- --
-- description: SPEC golden design, without WR.
--
--------------------------------------------------------------------------------
-- Copyright CERN 2019
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_1164.all;
......
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Title : SPEC golden -- CERN BE-CO-HT
-- Project : SPEC -- SPEC
-- URL : http://www.ohwr.org/projects/spec -- https://ohwr.org/projects/spec
------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Company : CERN (BE-CO-HT)
-- Created : 2017-02-20
-- Last update: 2019-07-16
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: SPEC golden.
-- --
------------------------------------------------------------------------------- -- unit name: spec_golden_wr
-- Copyright (c) 2017-2018 CERN
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
-- --
-- This source file is free software; you can redistribute it -- description: SPEC golden design, with WR.
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
-- --
-- This source is distributed in the hope that it will be --------------------------------------------------------------------------------
-- useful, but WITHOUT ANY WARRANTY; without even the implied -- Copyright CERN 2019
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR --------------------------------------------------------------------------------
-- PURPOSE. See the GNU Lesser General Public License for more -- Copyright and related rights are licensed under the Solderpad Hardware
-- details. -- License, Version 2.0 (the "License"); you may not use this file except
-- -- in compliance with the License. You may obtain a copy of the License at
-- You should have received a copy of the GNU Lesser General -- http://solderpad.org/licenses/SHL-2.0.
-- Public License along with this source; if not, download it -- Unless required by applicable law or agreed to in writing, software,
-- from http://www.gnu.org/licenses/lgpl-2.1.html -- hardware and materials distributed under this License is distributed on an
-- -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
------------------------------------------------------------------------------- -- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment