Commit b3ac19ae authored by Dimitris Lampridis's avatar Dimitris Lampridis

add dependencies as git submodules

parent 79c7901f
[submodule "hdl/ip_cores/wr-cores"]
path = hdl/ip_cores/wr-cores
url = https://ohwr.org/project/wr-cores.git
[submodule "hdl/ip_cores/general-cores"]
path = hdl/ip_cores/general-cores
url = https://ohwr.org/project/general-cores.git
[submodule "hdl/ip_cores/gn4124-core"]
path = hdl/ip_cores/gn4124-core
url = https://ohwr.org/project/gn4124-core.git
[submodule "hdl/ip_cores/ddr3-sp6-core"]
path = hdl/ip_cores/ddr3-sp6-core
url = https://ohwr.org/project/ddr3-sp6-core.git
Subproject commit bb5b8f75e6f85335b43fef320375404686a74008
Subproject commit ac43a1dbde29bcbd9126d877477e77b620176bac
Subproject commit 72adf76dab9a6fc33fbff7c86d786c31e175a46a
Subproject commit 9b78baa4feeae0461fc9846f9af683ba34f8dcc5
...@@ -10,10 +10,13 @@ syn_project = "spec_golden.xise" ...@@ -10,10 +10,13 @@ syn_project = "spec_golden.xise"
syn_tool = "ise" syn_tool = "ise"
syn_top = "spec_golden" syn_top = "spec_golden"
modules = { modules = {
"local" : "../../top/golden", "local" : [
"git" : [ "https://ohwr.org/project/wr-cores.git::proposed_master", "../../top/golden",
"https://ohwr.org/project/general-cores.git::proposed_master", ],
"https://ohwr.org/project/gn4124-core.git::proposed_master" ] "git" : [
"https://ohwr.org/project/wr-cores.git",
"https://ohwr.org/project/general-cores.git",
"https://ohwr.org/project/gn4124-core.git",
],
} }
...@@ -4,7 +4,7 @@ action = "synthesis" ...@@ -4,7 +4,7 @@ action = "synthesis"
# Allow the user to override fetchto using: # Allow the user to override fetchto using:
# hdlmake -p "fetchto='xxx'" # hdlmake -p "fetchto='xxx'"
if locals().get('fetchto', None) is None: if locals().get('fetchto', None) is None:
fetchto = "../ip_cores" fetchto = "../../ip_cores"
syn_device = "xc6slx45t" syn_device = "xc6slx45t"
syn_grade = "-3" syn_grade = "-3"
...@@ -18,13 +18,16 @@ ctrls = ["bank3_64b_32b" ] ...@@ -18,13 +18,16 @@ ctrls = ["bank3_64b_32b" ]
files = [ "buildinfo_pkg.vhd" ] files = [ "buildinfo_pkg.vhd" ]
modules = { modules = {
"local" : "../../top/golden_wr", "local" : [
"git" : [ "https://ohwr.org/project/wr-cores.git::proposed_master", "../../top/golden_wr",
"https://ohwr.org/project/general-cores.git::proposed_master", ],
"https://ohwr.org/project/etherbone-core.git::proposed_master", "git" : [
"https://ohwr.org/project/gn4124-core.git::proposed_master", "https://ohwr.org/project/wr-cores.git",
"https://ohwr.org/project/ddr3-sp6-core.git::proposed_master" ] "https://ohwr.org/project/general-cores.git",
"https://ohwr.org/project/gn4124-core.git",
"https://ohwr.org/project/ddr3-sp6-core.git",
],
} }
# Do not fail during hdlmake fetch # Do not fail during hdlmake fetch
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment