Commit 2a176b30 authored by Tristan Gingold's avatar Tristan Gingold

spec template: minor rework.

parent d9da5213
...@@ -484,7 +484,7 @@ begin -- architecture top ...@@ -484,7 +484,7 @@ begin -- architecture top
variable ca_state : t_ca_state; variable ca_state : t_ca_state;
variable can_stall : std_logic; variable can_stall : std_logic;
constant c_IDLE_WB_MASTER_IN : t_wishbone_master_in := constant c_IDLE_WB_MASTER_IN : t_wishbone_master_in :=
('0', '0', '0', '0', c_DUMMY_WB_DATA); (ack => '0', err => '0', rty => '0', stall => '0', dat => c_DUMMY_WB_DATA);
begin begin
if rising_edge(clk_sys_62m5) then if rising_edge(clk_sys_62m5) then
if rst_sys_62m5_n = '0' then if rst_sys_62m5_n = '0' then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment