Commit 1f8f3af7 authored by Tristan Gingold's avatar Tristan Gingold

spec template: re-indent.

parent 6bf7784d
......@@ -410,8 +410,8 @@ begin -- architecture top
g_L2P_ADDR_FIFO_FULL_SIZE => 256,
g_L2P_ADDR_FIFO_FULL_THRES => 175,
g_L2P_DATA_FIFO_FULL_SIZE => 256,
g_L2P_DATA_FIFO_FULL_THRES => 175)
g_L2P_DATA_FIFO_FULL_THRES => 175
)
port map (
---------------------------------------------------------
-- Control and status
......@@ -519,7 +519,6 @@ begin -- architecture top
ca_state := S_APP;
app_wb_o <= gn_wb_out;
end if;
else
end if;
when S_CARRIER =>
-- Pass from carrier.
......@@ -634,7 +633,7 @@ begin -- architecture top
if g_WITH_ONEWIRE and not g_WITH_WR then
metadata_data(1) <= '1';
end if;
if g_WITH_SPI then
if g_WITH_SPI and not g_WITH_WR then
metadata_data(2) <= '1';
end if;
if g_WITH_WR then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment