Commit e97fe83a authored by Nicolas Boucquey's avatar Nicolas Boucquey

PinHeader to FPGA done. FCI schematic component changed

parent 9d3c897a
......@@ -75,11 +75,11 @@ JX1_LVDS_5_P
Text GLabel 8900 2600 2 60 Input ~ 0
JX1_LVDS_5_N
Text GLabel 9000 2750 2 60 Input ~ 0
SDIO_1
JX1_LVDS_15_P
Text GLabel 9000 2850 2 60 Input ~ 0
SCLK_1
JX1_LVDS_14_P
Text GLabel 9000 2950 2 60 Input ~ 0
CSB_1
JX1_LVDS_14_N
$Comp
L R R15
U 1 1 56D80E5D
......
......@@ -75,11 +75,11 @@ JX1_LVDS_5_P
Text GLabel 8900 2600 2 60 Input ~ 0
JX1_LVDS_5_N
Text GLabel 9000 2750 2 60 Input ~ 0
SDIO_1
JX1_LVDS_15_P
Text GLabel 9000 2850 2 60 Input ~ 0
SCLK_1
JX1_LVDS_14_P
Text GLabel 9000 2950 2 60 Input ~ 0
CSB_1
JX1_LVDS_14_N
$Comp
L R R15
U 1 1 56D80E5D
......
......@@ -75,11 +75,11 @@ JX1_LVDS_13_P
Text GLabel 8900 2600 2 60 Input ~ 0
JX1_LVDS_13_N
Text GLabel 9000 2750 2 60 Input ~ 0
SDIO_2
JX1_LVDS_17_P
Text GLabel 9000 2850 2 60 Input ~ 0
SCLK_2
JX1_LVDS_16_P
Text GLabel 9000 2950 2 60 Input ~ 0
CSB_2
JX1_LVDS_16_N
$Comp
L R R27
U 1 1 56D5DAB7
......
......@@ -75,11 +75,11 @@ JX1_LVDS_13_P
Text GLabel 8900 2600 2 60 Input ~ 0
JX1_LVDS_13_N
Text GLabel 9000 2750 2 60 Input ~ 0
SDIO_2
JX1_LVDS_17_P
Text GLabel 9000 2850 2 60 Input ~ 0
SCLK_2
JX1_LVDS_16_P
Text GLabel 9000 2950 2 60 Input ~ 0
CSB_2
JX1_LVDS_16_N
$Comp
L R R27
U 1 1 56D5DAB7
......
......@@ -246,7 +246,7 @@ U 1 1 56DAB453
P 3200 3200
F 0 "JP2" V 3350 3000 50 0000 L CNN
F 1 "JUMPER3" H 3200 3300 50 0000 C BNN
F 2 "Connect:PINHEAD1-3" H 3200 3200 50 0001 C CNN
F 2 "Pin_Headers:Pin_Header_Straight_1x03" H 3200 3200 50 0001 C CNN
F 3 "" H 3200 3200 50 0000 C CNN
1 3200 3200
0 -1 -1 0
......@@ -259,7 +259,7 @@ U 1 1 56DABB68
P 2650 3200
F 0 "JP1" V 2800 3000 50 0000 L CNN
F 1 "JUMPER3" H 2650 3300 50 0000 C BNN
F 2 "Connect:PINHEAD1-3" H 2650 3200 50 0001 C CNN
F 2 "Pin_Headers:Pin_Header_Straight_1x03" H 2650 3200 50 0001 C CNN
F 3 "" H 2650 3200 50 0000 C CNN
1 2650 3200
0 -1 -1 0
......
......@@ -36,7 +36,7 @@ LIBS:opendous
LIBS:adcBoard-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
$Descr A3 16535 11693
encoding utf-8
Sheet 3 7
Title ""
......@@ -51,1121 +51,865 @@ $EndDescr
$Comp
L GND #PWR09
U 1 1 56C2A512
P 1350 3700
F 0 "#PWR09" H 1350 3450 50 0001 C CNN
F 1 "GND" H 1350 3550 50 0000 C CNN
F 2 "" H 1350 3700 50 0000 C CNN
F 3 "" H 1350 3700 50 0000 C CNN
1 1350 3700
P 4550 2550
F 0 "#PWR09" H 4550 2300 50 0001 C CNN
F 1 "GND" H 4550 2400 50 0000 C CNN
F 2 "" H 4550 2550 50 0000 C CNN
F 3 "" H 4550 2550 50 0000 C CNN
1 4550 2550
0 1 1 0
$EndComp
$Comp
L GND #PWR010
U 1 1 56C2A528
P 1350 3850
F 0 "#PWR010" H 1350 3600 50 0001 C CNN
F 1 "GND" H 1350 3700 50 0000 C CNN
F 2 "" H 1350 3850 50 0000 C CNN
F 3 "" H 1350 3850 50 0000 C CNN
1 1350 3850
0 1 1 0
P 6150 2550
F 0 "#PWR010" H 6150 2300 50 0001 C CNN
F 1 "GND" H 6150 2400 50 0000 C CNN
F 2 "" H 6150 2550 50 0000 C CNN
F 3 "" H 6150 2550 50 0000 C CNN
1 6150 2550
0 -1 -1 0
$EndComp
$Comp
L GND #PWR011
U 1 1 56C2A53E
P 1350 4600
F 0 "#PWR011" H 1350 4350 50 0001 C CNN
F 1 "GND" H 1350 4450 50 0000 C CNN
F 2 "" H 1350 4600 50 0000 C CNN
F 3 "" H 1350 4600 50 0000 C CNN
1 1350 4600
P 4550 3000
F 0 "#PWR011" H 4550 2750 50 0001 C CNN
F 1 "GND" H 4550 2850 50 0000 C CNN
F 2 "" H 4550 3000 50 0000 C CNN
F 3 "" H 4550 3000 50 0000 C CNN
1 4550 3000
0 1 1 0
$EndComp
$Comp
L GND #PWR012
U 1 1 56C2A554
P 1350 4750
F 0 "#PWR012" H 1350 4500 50 0001 C CNN
F 1 "GND" H 1350 4600 50 0000 C CNN
F 2 "" H 1350 4750 50 0000 C CNN
F 3 "" H 1350 4750 50 0000 C CNN
1 1350 4750
0 1 1 0
P 6150 3000
F 0 "#PWR012" H 6150 2750 50 0001 C CNN
F 1 "GND" H 6150 2850 50 0000 C CNN
F 2 "" H 6150 3000 50 0000 C CNN
F 3 "" H 6150 3000 50 0000 C CNN
1 6150 3000
0 -1 -1 0
$EndComp
$Comp
L GND #PWR013
U 1 1 56C2A56A
P 1350 5500
F 0 "#PWR013" H 1350 5250 50 0001 C CNN
F 1 "GND" H 1350 5350 50 0000 C CNN
F 2 "" H 1350 5500 50 0000 C CNN
F 3 "" H 1350 5500 50 0000 C CNN
1 1350 5500
P 4550 3450
F 0 "#PWR013" H 4550 3200 50 0001 C CNN
F 1 "GND" H 4550 3300 50 0000 C CNN
F 2 "" H 4550 3450 50 0000 C CNN
F 3 "" H 4550 3450 50 0000 C CNN
1 4550 3450
0 1 1 0
$EndComp
$Comp
L GND #PWR014
U 1 1 56C2A581
P 1350 5650
F 0 "#PWR014" H 1350 5400 50 0001 C CNN
F 1 "GND" H 1350 5500 50 0000 C CNN
F 2 "" H 1350 5650 50 0000 C CNN
F 3 "" H 1350 5650 50 0000 C CNN
1 1350 5650
0 1 1 0
P 6150 3450
F 0 "#PWR014" H 6150 3200 50 0001 C CNN
F 1 "GND" H 6150 3300 50 0000 C CNN
F 2 "" H 6150 3450 50 0000 C CNN
F 3 "" H 6150 3450 50 0000 C CNN
1 6150 3450
0 -1 -1 0
$EndComp
$Comp
L GND #PWR015
U 1 1 56C2A5B3
P 2350 1900
F 0 "#PWR015" H 2350 1650 50 0001 C CNN
F 1 "GND" H 2350 1750 50 0000 C CNN
F 2 "" H 2350 1900 50 0000 C CNN
F 3 "" H 2350 1900 50 0000 C CNN
1 2350 1900
P 4550 3900
F 0 "#PWR015" H 4550 3650 50 0001 C CNN
F 1 "GND" H 4550 3750 50 0000 C CNN
F 2 "" H 4550 3900 50 0000 C CNN
F 3 "" H 4550 3900 50 0000 C CNN
1 4550 3900
0 1 1 0
$EndComp
$Comp
L GND #PWR016
U 1 1 56C2A5C9
P 2350 2050
F 0 "#PWR016" H 2350 1800 50 0001 C CNN
F 1 "GND" H 2350 1900 50 0000 C CNN
F 2 "" H 2350 2050 50 0000 C CNN
F 3 "" H 2350 2050 50 0000 C CNN
1 2350 2050
0 1 1 0
P 6150 3900
F 0 "#PWR016" H 6150 3650 50 0001 C CNN
F 1 "GND" H 6150 3750 50 0000 C CNN
F 2 "" H 6150 3900 50 0000 C CNN
F 3 "" H 6150 3900 50 0000 C CNN
1 6150 3900
0 -1 -1 0
$EndComp
$Comp
L GND #PWR017
U 1 1 56C2A5DF
P 2350 2800
F 0 "#PWR017" H 2350 2550 50 0001 C CNN
F 1 "GND" H 2350 2650 50 0000 C CNN
F 2 "" H 2350 2800 50 0000 C CNN
F 3 "" H 2350 2800 50 0000 C CNN
1 2350 2800
P 4550 4350
F 0 "#PWR017" H 4550 4100 50 0001 C CNN
F 1 "GND" H 4550 4200 50 0000 C CNN
F 2 "" H 4550 4350 50 0000 C CNN
F 3 "" H 4550 4350 50 0000 C CNN
1 4550 4350
0 1 1 0
$EndComp
$Comp
L GND #PWR018
U 1 1 56C2A5F5
P 2350 2950
F 0 "#PWR018" H 2350 2700 50 0001 C CNN
F 1 "GND" H 2350 2800 50 0000 C CNN
F 2 "" H 2350 2950 50 0000 C CNN
F 3 "" H 2350 2950 50 0000 C CNN
1 2350 2950
0 1 1 0
P 6150 4350
F 0 "#PWR018" H 6150 4100 50 0001 C CNN
F 1 "GND" H 6150 4200 50 0000 C CNN
F 2 "" H 6150 4350 50 0000 C CNN
F 3 "" H 6150 4350 50 0000 C CNN
1 6150 4350
0 -1 -1 0
$EndComp
$Comp
L GND #PWR019
U 1 1 56C2A60B
P 2350 3700
F 0 "#PWR019" H 2350 3450 50 0001 C CNN
F 1 "GND" H 2350 3550 50 0000 C CNN
F 2 "" H 2350 3700 50 0000 C CNN
F 3 "" H 2350 3700 50 0000 C CNN
1 2350 3700
P 4550 4800
F 0 "#PWR019" H 4550 4550 50 0001 C CNN
F 1 "GND" H 4550 4650 50 0000 C CNN
F 2 "" H 4550 4800 50 0000 C CNN
F 3 "" H 4550 4800 50 0000 C CNN
1 4550 4800
0 1 1 0
$EndComp
$Comp
L GND #PWR020
U 1 1 56C2A621
P 2350 3850
F 0 "#PWR020" H 2350 3600 50 0001 C CNN
F 1 "GND" H 2350 3700 50 0000 C CNN
F 2 "" H 2350 3850 50 0000 C CNN
F 3 "" H 2350 3850 50 0000 C CNN
1 2350 3850
0 1 1 0
P 6150 4800
F 0 "#PWR020" H 6150 4550 50 0001 C CNN
F 1 "GND" H 6150 4650 50 0000 C CNN
F 2 "" H 6150 4800 50 0000 C CNN
F 3 "" H 6150 4800 50 0000 C CNN
1 6150 4800
0 -1 -1 0
$EndComp
$Comp
L GND #PWR021
U 1 1 56C2A637
P 2350 4600
F 0 "#PWR021" H 2350 4350 50 0001 C CNN
F 1 "GND" H 2350 4450 50 0000 C CNN
F 2 "" H 2350 4600 50 0000 C CNN
F 3 "" H 2350 4600 50 0000 C CNN
1 2350 4600
P 4550 5250
F 0 "#PWR021" H 4550 5000 50 0001 C CNN
F 1 "GND" H 4550 5100 50 0000 C CNN
F 2 "" H 4550 5250 50 0000 C CNN
F 3 "" H 4550 5250 50 0000 C CNN
1 4550 5250
0 1 1 0
$EndComp
$Comp
L GND #PWR022
U 1 1 56C2A64D
P 2350 4750
F 0 "#PWR022" H 2350 4500 50 0001 C CNN
F 1 "GND" H 2350 4600 50 0000 C CNN
F 2 "" H 2350 4750 50 0000 C CNN
F 3 "" H 2350 4750 50 0000 C CNN
1 2350 4750
0 1 1 0
P 6150 5250
F 0 "#PWR022" H 6150 5000 50 0001 C CNN
F 1 "GND" H 6150 5100 50 0000 C CNN
F 2 "" H 6150 5250 50 0000 C CNN
F 3 "" H 6150 5250 50 0000 C CNN
1 6150 5250
0 -1 -1 0
$EndComp
$Comp
L GND #PWR023
U 1 1 56C2A663
P 3500 2200
F 0 "#PWR023" H 3500 1950 50 0001 C CNN
F 1 "GND" H 3500 2050 50 0000 C CNN
F 2 "" H 3500 2200 50 0000 C CNN
F 3 "" H 3500 2200 50 0000 C CNN
1 3500 2200
P 4550 6300
F 0 "#PWR023" H 4550 6050 50 0001 C CNN
F 1 "GND" H 4550 6150 50 0000 C CNN
F 2 "" H 4550 6300 50 0000 C CNN
F 3 "" H 4550 6300 50 0000 C CNN
1 4550 6300
0 1 1 0
$EndComp
$Comp
L GND #PWR024
U 1 1 56C2A679
P 3500 2350
F 0 "#PWR024" H 3500 2100 50 0001 C CNN
F 1 "GND" H 3500 2200 50 0000 C CNN
F 2 "" H 3500 2350 50 0000 C CNN
F 3 "" H 3500 2350 50 0000 C CNN
1 3500 2350
0 1 1 0
P 6150 6300
F 0 "#PWR024" H 6150 6050 50 0001 C CNN
F 1 "GND" H 6150 6150 50 0000 C CNN
F 2 "" H 6150 6300 50 0000 C CNN
F 3 "" H 6150 6300 50 0000 C CNN
1 6150 6300
0 -1 -1 0
$EndComp
$Comp
L GND #PWR025
U 1 1 56C2A68F
P 3500 3100
F 0 "#PWR025" H 3500 2850 50 0001 C CNN
F 1 "GND" H 3500 2950 50 0000 C CNN
F 2 "" H 3500 3100 50 0000 C CNN
F 3 "" H 3500 3100 50 0000 C CNN
1 3500 3100
P 4550 6750
F 0 "#PWR025" H 4550 6500 50 0001 C CNN
F 1 "GND" H 4550 6600 50 0000 C CNN
F 2 "" H 4550 6750 50 0000 C CNN
F 3 "" H 4550 6750 50 0000 C CNN
1 4550 6750
0 1 1 0
$EndComp
$Comp
L GND #PWR026
U 1 1 56C2A6A5
P 3500 3250
F 0 "#PWR026" H 3500 3000 50 0001 C CNN
F 1 "GND" H 3500 3100 50 0000 C CNN
F 2 "" H 3500 3250 50 0000 C CNN
F 3 "" H 3500 3250 50 0000 C CNN
1 3500 3250
0 1 1 0
P 6150 6750
F 0 "#PWR026" H 6150 6500 50 0001 C CNN
F 1 "GND" H 6150 6600 50 0000 C CNN
F 2 "" H 6150 6750 50 0000 C CNN
F 3 "" H 6150 6750 50 0000 C CNN
1 6150 6750
0 -1 -1 0
$EndComp
$Comp
L GND #PWR027
U 1 1 56C2A6BB
P 3500 4000
F 0 "#PWR027" H 3500 3750 50 0001 C CNN
F 1 "GND" H 3500 3850 50 0000 C CNN
F 2 "" H 3500 4000 50 0000 C CNN
F 3 "" H 3500 4000 50 0000 C CNN
1 3500 4000
P 4550 7200
F 0 "#PWR027" H 4550 6950 50 0001 C CNN
F 1 "GND" H 4550 7050 50 0000 C CNN
F 2 "" H 4550 7200 50 0000 C CNN
F 3 "" H 4550 7200 50 0000 C CNN
1 4550 7200
0 1 1 0
$EndComp
$Comp
L GND #PWR028
U 1 1 56C2A6D1
P 3500 5200
F 0 "#PWR028" H 3500 4950 50 0001 C CNN
F 1 "GND" H 3500 5050 50 0000 C CNN
F 2 "" H 3500 5200 50 0000 C CNN
F 3 "" H 3500 5200 50 0000 C CNN
1 3500 5200
P 4550 7800
F 0 "#PWR028" H 4550 7550 50 0001 C CNN
F 1 "GND" H 4550 7650 50 0000 C CNN
F 2 "" H 4550 7800 50 0000 C CNN
F 3 "" H 4550 7800 50 0000 C CNN
1 4550 7800
0 1 1 0
$EndComp
$Comp
L GND #PWR029
U 1 1 56C2A6E7
P 3500 5350
F 0 "#PWR029" H 3500 5100 50 0001 C CNN
F 1 "GND" H 3500 5200 50 0000 C CNN
F 2 "" H 3500 5350 50 0000 C CNN
F 3 "" H 3500 5350 50 0000 C CNN
1 3500 5350
0 1 1 0
P 6150 7800
F 0 "#PWR029" H 6150 7550 50 0001 C CNN
F 1 "GND" H 6150 7650 50 0000 C CNN
F 2 "" H 6150 7800 50 0000 C CNN
F 3 "" H 6150 7800 50 0000 C CNN
1 6150 7800
0 -1 -1 0
$EndComp
$Comp
L GND #PWR030
U 1 1 56C2A6FD
P 4750 2200
F 0 "#PWR030" H 4750 1950 50 0001 C CNN
F 1 "GND" H 4750 2050 50 0000 C CNN
F 2 "" H 4750 2200 50 0000 C CNN
F 3 "" H 4750 2200 50 0000 C CNN
1 4750 2200
P 4550 8550
F 0 "#PWR030" H 4550 8300 50 0001 C CNN
F 1 "GND" H 4550 8400 50 0000 C CNN
F 2 "" H 4550 8550 50 0000 C CNN
F 3 "" H 4550 8550 50 0000 C CNN
1 4550 8550
0 1 1 0
$EndComp
$Comp
L GND #PWR031
U 1 1 56C2A713
P 4750 2350
F 0 "#PWR031" H 4750 2100 50 0001 C CNN
F 1 "GND" H 4750 2200 50 0000 C CNN
F 2 "" H 4750 2350 50 0000 C CNN
F 3 "" H 4750 2350 50 0000 C CNN
1 4750 2350
0 1 1 0
P 6150 8550
F 0 "#PWR031" H 6150 8300 50 0001 C CNN
F 1 "GND" H 6150 8400 50 0000 C CNN
F 2 "" H 6150 8550 50 0000 C CNN
F 3 "" H 6150 8550 50 0000 C CNN
1 6150 8550
0 -1 -1 0
$EndComp
$Comp
L GND #PWR032
U 1 1 56C2A989
P 6750 3700
F 0 "#PWR032" H 6750 3450 50 0001 C CNN
F 1 "GND" H 6750 3550 50 0000 C CNN
F 2 "" H 6750 3700 50 0000 C CNN
F 3 "" H 6750 3700 50 0000 C CNN
1 6750 3700
P 10250 2500
F 0 "#PWR032" H 10250 2250 50 0001 C CNN
F 1 "GND" H 10250 2350 50 0000 C CNN
F 2 "" H 10250 2500 50 0000 C CNN
F 3 "" H 10250 2500 50 0000 C CNN
1 10250 2500
0 1 1 0
$EndComp
$Comp
L GND #PWR033
U 1 1 56C2A98F
P 6750 3850
F 0 "#PWR033" H 6750 3600 50 0001 C CNN
F 1 "GND" H 6750 3700 50 0000 C CNN
F 2 "" H 6750 3850 50 0000 C CNN
F 3 "" H 6750 3850 50 0000 C CNN
1 6750 3850
0 1 1 0
P 11850 2500
F 0 "#PWR033" H 11850 2250 50 0001 C CNN
F 1 "GND" H 11850 2350 50 0000 C CNN
F 2 "" H 11850 2500 50 0000 C CNN
F 3 "" H 11850 2500 50 0000 C CNN
1 11850 2500
0 -1 -1 0
$EndComp
$Comp
L GND #PWR034
U 1 1 56C2A995
P 6750 4600
F 0 "#PWR034" H 6750 4350 50 0001 C CNN
F 1 "GND" H 6750 4450 50 0000 C CNN
F 2 "" H 6750 4600 50 0000 C CNN
F 3 "" H 6750 4600 50 0000 C CNN
1 6750 4600
P 10250 2950
F 0 "#PWR034" H 10250 2700 50 0001 C CNN
F 1 "GND" H 10250 2800 50 0000 C CNN
F 2 "" H 10250 2950 50 0000 C CNN
F 3 "" H 10250 2950 50 0000 C CNN
1 10250 2950
0 1 1 0
$EndComp
$Comp
L GND #PWR035
U 1 1 56C2A99B
P 6750 4750
F 0 "#PWR035" H 6750 4500 50 0001 C CNN
F 1 "GND" H 6750 4600 50 0000 C CNN
F 2 "" H 6750 4750 50 0000 C CNN
F 3 "" H 6750 4750 50 0000 C CNN
1 6750 4750
0 1 1 0
P 11850 2950
F 0 "#PWR035" H 11850 2700 50 0001 C CNN
F 1 "GND" H 11850 2800 50 0000 C CNN
F 2 "" H 11850 2950 50 0000 C CNN
F 3 "" H 11850 2950 50 0000 C CNN
1 11850 2950
0 -1 -1 0
$EndComp
$Comp
L GND #PWR036
U 1 1 56C2A9A1
P 6750 5500
F 0 "#PWR036" H 6750 5250 50 0001 C CNN
F 1 "GND" H 6750 5350 50 0000 C CNN
F 2 "" H 6750 5500 50 0000 C CNN
F 3 "" H 6750 5500 50 0000 C CNN
1 6750 5500
P 10250 3400
F 0 "#PWR036" H 10250 3150 50 0001 C CNN
F 1 "GND" H 10250 3250 50 0000 C CNN
F 2 "" H 10250 3400 50 0000 C CNN
F 3 "" H 10250 3400 50 0000 C CNN
1 10250 3400
0 1 1 0
$EndComp
$Comp
L GND #PWR037
U 1 1 56C2A9A7
P 6750 5650
F 0 "#PWR037" H 6750 5400 50 0001 C CNN
F 1 "GND" H 6750 5500 50 0000 C CNN
F 2 "" H 6750 5650 50 0000 C CNN
F 3 "" H 6750 5650 50 0000 C CNN
1 6750 5650
0 1 1 0
P 11850 3400
F 0 "#PWR037" H 11850 3150 50 0001 C CNN
F 1 "GND" H 11850 3250 50 0000 C CNN
F 2 "" H 11850 3400 50 0000 C CNN
F 3 "" H 11850 3400 50 0000 C CNN
1 11850 3400
0 -1 -1 0
$EndComp
$Comp
L GND #PWR038
U 1 1 56C2A9AD
P 7750 1900
F 0 "#PWR038" H 7750 1650 50 0001 C CNN
F 1 "GND" H 7750 1750 50 0000 C CNN
F 2 "" H 7750 1900 50 0000 C CNN
F 3 "" H 7750 1900 50 0000 C CNN
1 7750 1900
P 10250 3850
F 0 "#PWR038" H 10250 3600 50 0001 C CNN
F 1 "GND" H 10250 3700 50 0000 C CNN
F 2 "" H 10250 3850 50 0000 C CNN
F 3 "" H 10250 3850 50 0000 C CNN
1 10250 3850
0 1 1 0
$EndComp
$Comp
L GND #PWR039
U 1 1 56C2A9B3
P 7750 2050
F 0 "#PWR039" H 7750 1800 50 0001 C CNN
F 1 "GND" H 7750 1900 50 0000 C CNN
F 2 "" H 7750 2050 50 0000 C CNN
F 3 "" H 7750 2050 50 0000 C CNN
1 7750 2050
0 1 1 0
P 11850 3850
F 0 "#PWR039" H 11850 3600 50 0001 C CNN
F 1 "GND" H 11850 3700 50 0000 C CNN
F 2 "" H 11850 3850 50 0000 C CNN
F 3 "" H 11850 3850 50 0000 C CNN
1 11850 3850
0 -1 -1 0
$EndComp
$Comp
L GND #PWR040
U 1 1 56C2A9B9
P 7750 2800
F 0 "#PWR040" H 7750 2550 50 0001 C CNN
F 1 "GND" H 7750 2650 50 0000 C CNN
F 2 "" H 7750 2800 50 0000 C CNN
F 3 "" H 7750 2800 50 0000 C CNN
1 7750 2800
P 10250 4300
F 0 "#PWR040" H 10250 4050 50 0001 C CNN
F 1 "GND" H 10250 4150 50 0000 C CNN
F 2 "" H 10250 4300 50 0000 C CNN
F 3 "" H 10250 4300 50 0000 C CNN
1 10250 4300
0 1 1 0
$EndComp
$Comp
L GND #PWR041
U 1 1 56C2A9BF
P 7750 2950
F 0 "#PWR041" H 7750 2700 50 0001 C CNN
F 1 "GND" H 7750 2800 50 0000 C CNN
F 2 "" H 7750 2950 50 0000 C CNN
F 3 "" H 7750 2950 50 0000 C CNN
1 7750 2950
0 1 1 0
P 11850 4300
F 0 "#PWR041" H 11850 4050 50 0001 C CNN
F 1 "GND" H 11850 4150 50 0000 C CNN
F 2 "" H 11850 4300 50 0000 C CNN
F 3 "" H 11850 4300 50 0000 C CNN
1 11850 4300
0 -1 -1 0
$EndComp
$Comp
L GND #PWR042
U 1 1 56C2A9C5
P 7750 3700
F 0 "#PWR042" H 7750 3450 50 0001 C CNN
F 1 "GND" H 7750 3550 50 0000 C CNN
F 2 "" H 7750 3700 50 0000 C CNN
F 3 "" H 7750 3700 50 0000 C CNN
1 7750 3700
P 10250 4750
F 0 "#PWR042" H 10250 4500 50 0001 C CNN
F 1 "GND" H 10250 4600 50 0000 C CNN
F 2 "" H 10250 4750 50 0000 C CNN
F 3 "" H 10250 4750 50 0000 C CNN
1 10250 4750
0 1 1 0
$EndComp
$Comp
L GND #PWR043
U 1 1 56C2A9CB
P 7750 3850
F 0 "#PWR043" H 7750 3600 50 0001 C CNN
F 1 "GND" H 7750 3700 50 0000 C CNN
F 2 "" H 7750 3850 50 0000 C CNN
F 3 "" H 7750 3850 50 0000 C CNN
1 7750 3850
0 1 1 0
P 11850 4750
F 0 "#PWR043" H 11850 4500 50 0001 C CNN
F 1 "GND" H 11850 4600 50 0000 C CNN
F 2 "" H 11850 4750 50 0000 C CNN
F 3 "" H 11850 4750 50 0000 C CNN
1 11850 4750
0 -1 -1 0
$EndComp
$Comp
L GND #PWR044
U 1 1 56C2A9D1
P 7750 4600
F 0 "#PWR044" H 7750 4350 50 0001 C CNN
F 1 "GND" H 7750 4450 50 0000 C CNN
F 2 "" H 7750 4600 50 0000 C CNN
F 3 "" H 7750 4600 50 0000 C CNN
1 7750 4600
P 10250 5200
F 0 "#PWR044" H 10250 4950 50 0001 C CNN
F 1 "GND" H 10250 5050 50 0000 C CNN
F 2 "" H 10250 5200 50 0000 C CNN
F 3 "" H 10250 5200 50 0000 C CNN
1 10250 5200
0 1 1 0
$EndComp
$Comp
L GND #PWR045
U 1 1 56C2A9D7
P 7750 4750
F 0 "#PWR045" H 7750 4500 50 0001 C CNN
F 1 "GND" H 7750 4600 50 0000 C CNN
F 2 "" H 7750 4750 50 0000 C CNN
F 3 "" H 7750 4750 50 0000 C CNN
1 7750 4750
0 1 1 0
P 11850 5200
F 0 "#PWR045" H 11850 4950 50 0001 C CNN
F 1 "GND" H 11850 5050 50 0000 C CNN
F 2 "" H 11850 5200 50 0000 C CNN
F 3 "" H 11850 5200 50 0000 C CNN
1 11850 5200
0 -1 -1 0
$EndComp
$Comp
L GND #PWR046
U 1 1 56C2A9DD
P 8900 2200
F 0 "#PWR046" H 8900 1950 50 0001 C CNN
F 1 "GND" H 8900 2050 50 0000 C CNN
F 2 "" H 8900 2200 50 0000 C CNN
F 3 "" H 8900 2200 50 0000 C CNN
1 8900 2200
P 10250 6250
F 0 "#PWR046" H 10250 6000 50 0001 C CNN
F 1 "GND" H 10250 6100 50 0000 C CNN
F 2 "" H 10250 6250 50 0000 C CNN
F 3 "" H 10250 6250 50 0000 C CNN
1 10250 6250
0 1 1 0
$EndComp
$Comp
L GND #PWR047
U 1 1 56C2A9E3
P 8900 2350
F 0 "#PWR047" H 8900 2100 50 0001 C CNN
F 1 "GND" H 8900 2200 50 0000 C CNN
F 2 "" H 8900 2350 50 0000 C CNN
F 3 "" H 8900 2350 50 0000 C CNN
1 8900 2350
0 1 1 0
P 11850 6250
F 0 "#PWR047" H 11850 6000 50 0001 C CNN
F 1 "GND" H 11850 6100 50 0000 C CNN
F 2 "" H 11850 6250 50 0000 C CNN
F 3 "" H 11850 6250 50 0000 C CNN
1 11850 6250
0 -1 -1 0
$EndComp
$Comp
L GND #PWR048
U 1 1 56C2A9E9
P 8900 3100
F 0 "#PWR048" H 8900 2850 50 0001 C CNN
F 1 "GND" H 8900 2950 50 0000 C CNN
F 2 "" H 8900 3100 50 0000 C CNN
F 3 "" H 8900 3100 50 0000 C CNN
1 8900 3100
P 10250 6700
F 0 "#PWR048" H 10250 6450 50 0001 C CNN
F 1 "GND" H 10250 6550 50 0000 C CNN
F 2 "" H 10250 6700 50 0000 C CNN
F 3 "" H 10250 6700 50 0000 C CNN
1 10250 6700
0 1 1 0
$EndComp
$Comp
L GND #PWR049
U 1 1 56C2A9EF
P 8900 3250
F 0 "#PWR049" H 8900 3000 50 0001 C CNN
F 1 "GND" H 8900 3100 50 0000 C CNN
F 2 "" H 8900 3250 50 0000 C CNN
F 3 "" H 8900 3250 50 0000 C CNN
1 8900 3250
0 1 1 0
P 11850 6700
F 0 "#PWR049" H 11850 6450 50 0001 C CNN
F 1 "GND" H 11850 6550 50 0000 C CNN
F 2 "" H 11850 6700 50 0000 C CNN
F 3 "" H 11850 6700 50 0000 C CNN
1 11850 6700
0 -1 -1 0
$EndComp
$Comp
L GND #PWR050
U 1 1 56C2A9F5
P 8900 4000
F 0 "#PWR050" H 8900 3750 50 0001 C CNN
F 1 "GND" H 8900 3850 50 0000 C CNN
F 2 "" H 8900 4000 50 0000 C CNN
F 3 "" H 8900 4000 50 0000 C CNN
1 8900 4000
P 10250 7150
F 0 "#PWR050" H 10250 6900 50 0001 C CNN
F 1 "GND" H 10250 7000 50 0000 C CNN
F 2 "" H 10250 7150 50 0000 C CNN
F 3 "" H 10250 7150 50 0000 C CNN
1 10250 7150
0 1 1 0
$EndComp
$Comp
L GND #PWR051
U 1 1 56C2A9FB
P 8900 5200
F 0 "#PWR051" H 8900 4950 50 0001 C CNN
F 1 "GND" H 8900 5050 50 0000 C CNN
F 2 "" H 8900 5200 50 0000 C CNN
F 3 "" H 8900 5200 50 0000 C CNN
1 8900 5200
P 10250 7750
F 0 "#PWR051" H 10250 7500 50 0001 C CNN
F 1 "GND" H 10250 7600 50 0000 C CNN
F 2 "" H 10250 7750 50 0000 C CNN
F 3 "" H 10250 7750 50 0000 C CNN
1 10250 7750
0 1 1 0
$EndComp
$Comp
L GND #PWR052
U 1 1 56C2AA01
P 8900 5350
F 0 "#PWR052" H 8900 5100 50 0001 C CNN
F 1 "GND" H 8900 5200 50 0000 C CNN
F 2 "" H 8900 5350 50 0000 C CNN
F 3 "" H 8900 5350 50 0000 C CNN
1 8900 5350
0 1 1 0
$EndComp
Text GLabel 1250 3100 0 60 Input ~ 12
P 11850 7750
F 0 "#PWR052" H 11850 7500 50 0001 C CNN
F 1 "GND" H 11850 7600 50 0000 C CNN
F 2 "" H 11850 7750 50 0000 C CNN
F 3 "" H 11850 7750 50 0000 C CNN
1 11850 7750
0 -1 -1 0
$EndComp
Text GLabel 4550 2250 0 60 Input ~ 12
JX1_LVDS_0_P
Text GLabel 1250 3400 0 60 Input ~ 12
Text GLabel 4550 2400 0 60 Input ~ 12
JX1_LVDS_0_N
Text GLabel 1250 3250 0 60 Input ~ 12
Text GLabel 6150 2250 2 60 Input ~ 12
JX1_LVDS_1_P
Text GLabel 1250 3550 0 60 Input ~ 12
Text GLabel 6150 2400 2 60 Input ~ 12
JX1_LVDS_1_N
Text GLabel 1250 4000 0 60 Input ~ 12
Text GLabel 4550 2700 0 60 Input ~ 12
JX1_LVDS_2_P
Text GLabel 1250 4300 0 60 Input ~ 12
Text GLabel 4550 2850 0 60 Input ~ 12
JX1_LVDS_2_N
Text GLabel 1250 4150 0 60 Input ~ 12
Text GLabel 6150 2700 2 60 Input ~ 12
JX1_LVDS_3_P
Text GLabel 1250 4450 0 60 Input ~ 12
Text GLabel 6150 2850 2 60 Input ~ 12
JX1_LVDS_3_N
Text GLabel 1250 4900 0 60 Input ~ 12
Text GLabel 4550 3150 0 60 Input ~ 12
JX1_LVDS_4_P
Text GLabel 1250 5200 0 60 Input ~ 12
Text GLabel 4550 3300 0 60 Input ~ 12
JX1_LVDS_4_N
Text GLabel 1250 5050 0 60 Input ~ 12
Text GLabel 6150 3150 2 60 Input ~ 12
JX1_LVDS_5_P
Text GLabel 1250 5350 0 60 Input ~ 12
Text GLabel 6150 3300 2 60 Input ~ 12
JX1_LVDS_5_N
NoConn ~ 6950 1600
NoConn ~ 6950 1750
NoConn ~ 6950 1900
NoConn ~ 6950 2050
NoConn ~ 6950 2200
NoConn ~ 6950 2350
NoConn ~ 6950 2500
NoConn ~ 6950 2650
Text GLabel 2750 1600 0 60 Input ~ 12
NoConn ~ 10250 1450
NoConn ~ 11850 1450
NoConn ~ 10250 1600
NoConn ~ 11850 1600
NoConn ~ 10250 1750
NoConn ~ 11850 1750
NoConn ~ 10250 1900
NoConn ~ 11850 1900
Text GLabel 4550 3750 0 60 Input ~ 12
JX1_LVDS_6_N
Text GLabel 2750 1750 0 60 Input ~ 12
Text GLabel 6150 3750 2 60 Input ~ 12
JX1_LVDS_7_N
Text GLabel 2750 2200 0 60 Input ~ 12
Text GLabel 4550 4050 0 60 Input ~ 12
JX1_LVDS_8_P
Text GLabel 2750 2350 0 60 Input ~ 12
Text GLabel 6150 4050 2 60 Input ~ 12
JX1_LVDS_9_P
Text GLabel 2750 2500 0 60 Input ~ 12
Text GLabel 4550 4200 0 60 Input ~ 12
JX1_LVDS_8_N
Text GLabel 2750 2650 0 60 Input ~ 12
Text GLabel 6150 4200 2 60 Input ~ 12
JX1_LVDS_9_N
Text GLabel 2750 3100 0 60 Input ~ 12
Text GLabel 4550 4500 0 60 Input ~ 12
JX1_LVDS_10_P
Text GLabel 1250 5800 0 60 Input ~ 12
Text GLabel 4550 3600 0 60 Input ~ 12
JX1_LVDS_6_P
Text GLabel 1250 5950 0 60 Input ~ 12
Text GLabel 6150 3600 2 60 Input ~ 12
JX1_LVDS_7_P
Text GLabel 2750 3400 0 60 Input ~ 12
Text GLabel 4550 4650 0 60 Input ~ 12
JX1_LVDS_10_N
Text GLabel 2400 4900 0 60 Input ~ 12
SCLK_1
Text GLabel 2400 5200 0 60 Input ~ 12
CSB_1
Text GLabel 3650 1600 0 60 Input ~ 12
SDIO_2
Text GLabel 3650 1750 0 60 Input ~ 12
SCLK_2
Text GLabel 3650 1900 0 60 Input ~ 12
CSB_2
Text GLabel 2750 4150 0 60 Input ~ 12
Text GLabel 4550 5400 0 60 Input ~ 12
JX1_LVDS_14_P
Text GLabel 4550 5550 0 60 Input ~ 12
JX1_LVDS_14_N
Text GLabel 6150 6000 2 60 Input ~ 12
JX1_LVDS_17_P
Text GLabel 4550 6000 0 60 Input ~ 12
JX1_LVDS_16_P
Text GLabel 4550 6150 0 60 Input ~ 12
JX1_LVDS_16_N
Text GLabel 6150 4950 2 60 Input ~ 12
JX1_LVDS_13_P
Text GLabel 2750 4450 0 60 Input ~ 12
Text GLabel 6150 5100 2 60 Input ~ 12
JX1_LVDS_13_N
Text GLabel 2400 5050 0 60 Input ~ 12
SDIO_1
Text Notes 3300 7000 0 60 ~ 0
Text GLabel 6150 5400 2 60 Input ~ 12
JX1_LVDS_15_P
Text Notes 11950 9600 0 60 ~ 0
JX1_LVDS_11_P/N and JX1_LVDS_12_P/N clock capable\n
Text Notes 3200 7450 0 60 ~ 0
Text Notes 11850 10050 0 60 ~ 0
\nBank34 has to be powered by the carrier board (ZedBoard doc. p. 23)\n\n\n
Text GLabel 6600 3250 0 60 Input Italic 0
Text GLabel 11850 2200 2 60 Input Italic 0
VIN_12_2
Text GLabel 6650 2950 0 60 Input ~ 12
Text GLabel 11850 2050 2 60 Input ~ 12
VCCIO_EN
Text GLabel 1200 2200 0 60 Input ~ 12
Text GLabel 4550 1800 0 60 Input ~ 12
PWR_ENABLE
Text Notes 7100 7100 0 60 ~ 0
Text Notes 11850 10550 0 60 ~ 0
POWER SEQUENCING\n1 - LM46303 gives the microZed 5V on VIN_HDR\n2 - LM46303 gives the microZed 5V on PWR_ENABLE (Same time as 1)\n3 - microZed gives the LP3982ILD the VCCIO_EN signal\n4 - The LP3982ILD gives a powerGood signal to the LT3042\n5 - The LP3982ILD powers the microZed's bank 34 (same time as 4)
Text Notes 5300 3150 0 60 ~ 0
Text Notes 8650 2200 0 60 ~ 0
PG_MODULE -->
Text Notes 4750 4750 0 98 ~ 0
Text Notes 5200 5150 0 98 ~ 0
JX1
Text Notes 10250 4800 0 98 ~ 0
Text Notes 10900 5150 0 98 ~ 0
JX2\n
Text GLabel 1050 1600 0 60 Input ~ 0
Text GLabel 4550 1500 0 60 Input ~ 0
JTAG_TCK
Text GLabel 1050 1900 0 60 Input ~ 0
Text GLabel 4550 1650 0 60 Input ~ 0
JTAG_TDO
Text GLabel 1050 1750 0 60 Input ~ 0
Text GLabel 6150 1500 2 60 Input ~ 0
JTAG_TMS
Text GLabel 1050 2050 0 60 Input ~ 0
Text GLabel 6150 1650 2 60 Input ~ 0
JTAG_TDI
Text GLabel 1350 2350 0 60 Input ~ 0
Text GLabel 6150 1800 2 60 Input ~ 0
CARRIER_SRST#
Text GLabel 1150 2500 0 60 Input ~ 0
Text GLabel 4550 1950 0 60 Input ~ 0
FPGA_VBATT
Text GLabel 1150 2650 0 60 Input ~ 0
Text GLabel 6150 1950 2 60 Input ~ 0
FPGA_DONE
Text GLabel 1050 2800 0 60 Input ~ 0
Text GLabel 4550 2100 0 60 Input ~ 0
JX1_SE_0
Text GLabel 1050 2950 0 60 Input ~ 0
Text GLabel 6150 2100 2 60 Input ~ 0
JX1_SE_1
Text GLabel 2750 3250 0 60 Input ~ 0
Text GLabel 6150 4500 2 60 Input ~ 0
JX1_LVDS_11_P
Text GLabel 2750 3550 0 60 Input ~ 0
Text GLabel 6150 4650 2 60 Input ~ 0
JX1_LVDS_11_N
Text GLabel 2750 4000 0 60 Input ~ 0
Text GLabel 4550 4950 0 60 Input ~ 0
JX1_LVDS_12_P
Text GLabel 2750 4300 0 60 Input ~ 0
Text GLabel 4550 5100 0 60 Input ~ 0
JX1_LVDS_12_N
Text GLabel 2750 5350 0 60 Input ~ 0
Text GLabel 6150 5550 2 60 Input ~ 0
JX1_LVDS_15_N
Text GLabel 3950 2050 0 60 Input ~ 0
Text GLabel 6150 6150 2 60 Input ~ 0
JX1_LVDS_17_N
Text GLabel 3950 2500 0 60 Input ~ 0
Text GLabel 4550 6450 0 60 Input ~ 0
JX1_LVDS_18_P
Text GLabel 3950 2650 0 60 Input ~ 0
Text GLabel 6150 6450 2 60 Input ~ 0
JX1_LVDS_19_P
Text GLabel 3950 2800 0 60 Input ~ 0
Text GLabel 4550 6600 0 60 Input ~ 0
JX1_LVDS_18_N
Text GLabel 3950 2950 0 60 Input ~ 0
Text GLabel 6150 6600 2 60 Input ~ 0
JX1_LVDS_19_N
Text GLabel 3950 3400 0 60 Input ~ 0
Text GLabel 4550 6900 0 60 Input ~ 0
JX1_LVDS_20_P
Text GLabel 3950 3550 0 60 Input ~ 0
Text GLabel 6150 6900 2 60 Input ~ 0
JX1_LVDS_21_P
Text GLabel 3950 3700 0 60 Input ~ 0
Text GLabel 4550 7050 0 60 Input ~ 0
JX1_LVDS_20_N
Text GLabel 3950 3850 0 60 Input ~ 0
Text GLabel 6150 7050 2 60 Input ~ 0
JX1_LVDS_21_N
Text GLabel 3950 4600 0 60 Input ~ 0
Text GLabel 4550 7500 0 60 Input ~ 0
JX1_LVDS_22_P
Text GLabel 3950 4750 0 60 Input ~ 0
Text GLabel 6150 7500 2 60 Input ~ 0
JX1_LVDS_23_P
Text GLabel 3950 4900 0 60 Input ~ 0
Text GLabel 4550 7650 0 60 Input ~ 0
JX1_LVDS_22_N
Text GLabel 3950 5050 0 60 Input ~ 0
JX1_LVDS_23_N
Text GLabel 3950 5500 0 60 Input ~ 0
Text GLabel 4550 7950 0 60 Input ~ 0
B13_LVDS_0_P
Text GLabel 3950 5650 0 60 Input ~ 0
Text GLabel 6150 7950 2 60 Input ~ 0
B13_LVDS_1_P
Text GLabel 3950 5800 0 60 Input ~ 0
Text GLabel 4550 8100 0 60 Input ~ 0
B13_LVDS_0_N
Text GLabel 3950 5950 0 60 Input ~ 0
Text GLabel 6150 8100 2 60 Input ~ 0
B13_LVDS_1_N
Text GLabel 5150 1600 0 60 Input ~ 0
Text GLabel 4550 8250 0 60 Input ~ 0
B13_LVDS_2_P
Text GLabel 5150 1750 0 60 Input ~ 0
Text GLabel 6150 8250 2 60 Input ~ 0
B13_LVDS_3_P
Text GLabel 5150 1900 0 60 Input ~ 0
Text GLabel 4550 8400 0 60 Input ~ 0
B13_LVDS_2_N
Text GLabel 5150 2050 0 60 Input ~ 0
Text GLabel 6150 8400 2 60 Input ~ 0
B13_LVDS_3_N
Text GLabel 4850 2500 0 60 Input ~ 0
Text GLabel 4550 8700 0 60 Input ~ 0
VP_0_P
Text GLabel 4900 2650 0 60 Input ~ 0
Text GLabel 6150 8700 2 60 Input ~ 0
DXP_0_P
Text GLabel 4850 2800 0 60 Input ~ 0
Text GLabel 4550 8850 0 60 Input ~ 0
VN_0_N
Text GLabel 4900 2950 0 60 Input ~ 0
Text GLabel 6150 8850 2 60 Input ~ 0
DXN_0_N
Text GLabel 6400 2800 0 60 Input ~ 0
Text GLabel 10250 2050 0 60 Input ~ 0
INIT#
Text GLabel 6700 3100 0 60 Input ~ 0
Text GLabel 10250 2200 0 60 Input ~ 0
PG_MODULE
Text Notes 6750 2400 1 60 ~ 0
Text Notes 9850 1950 1 60 ~ 0
PMOD CONN
Text GLabel 6600 3400 0 60 Input ~ 0
Text GLabel 10250 2350 0 60 Input ~ 0
JX2_SE_0
Text GLabel 6600 3550 0 60 Input ~ 0
Text GLabel 11850 2350 2 60 Input ~ 0
JX2_SE_1
Text GLabel 6800 4000 0 60 Input ~ 0
Text GLabel 10250 2650 0 60 Input ~ 0
JX2_LVDS_0_P
Text GLabel 6800 4150 0 60 Input ~ 0
JX2_LVDS_1_N
Text GLabel 6800 4300 0 60 Input ~ 0
Text GLabel 11850 2650 2 60 Input ~ 0
JX2_LVDS_1_P
Text GLabel 10250 2800 0 60 Input ~ 0
JX2_LVDS_0_N
Text GLabel 6800 4450 0 60 Input ~ 0
Text GLabel 11850 2800 2 60 Input ~ 0
JX2_LVDS_1_N
Text GLabel 6800 4900 0 60 Input ~ 0
Text GLabel 10250 3100 0 60 Input ~ 0
JX2_LVDS_2_P
Text GLabel 6800 5050 0 60 Input ~ 0
Text GLabel 11850 3100 2 60 Input ~ 0
JX2_LVDS_3_P
Text GLabel 6800 5200 0 60 Input ~ 0
Text GLabel 10250 3250 0 60 Input ~ 0
JX2_LVDS_2_N
Text GLabel 6800 5350 0 60 Input ~ 0
Text GLabel 11850 3250 2 60 Input ~ 0
JX2_LVDS_3_N
Text GLabel 6800 5800 0 60 Input ~ 0
Text GLabel 10250 3550 0 60 Input ~ 0
JX2_LVDS_4_P
Text GLabel 6800 5950 0 60 Input ~ 0
JX2_LVDS__P
Text GLabel 8100 1600 0 60 Input ~ 0
Text GLabel 11850 3550 2 60 Input ~ 0
JX2_LVDS_5_P
Text GLabel 10250 3700 0 60 Input ~ 0
JX2_LVDS_4_N
Text GLabel 8100 1750 0 60 Input ~ 0
Text GLabel 11850 3700 2 60 Input ~ 0
JX2_LVDS_5_N
Text GLabel 8100 2200 0 60 Input ~ 0
Text GLabel 10250 4000 0 60 Input ~ 0
JX2_LVDS_6_P
Text GLabel 8100 2350 0 60 Input ~ 0
Text GLabel 11850 4000 2 60 Input ~ 0
JX2_LVDS_7_P
Text GLabel 8100 2500 0 60 Input ~ 0
Text GLabel 10250 4150 0 60 Input ~ 0
JX2_LVDS_6_N
Text GLabel 8100 2650 0 60 Input ~ 0
Text GLabel 11850 4150 2 60 Input ~ 0
JX2_LVDS_7_N
Text GLabel 8100 3100 0 60 Input ~ 0
Text GLabel 10250 4450 0 60 Input ~ 0
JX2_LVDS_8_P
Text GLabel 8100 3250 0 60 Input ~ 0
Text GLabel 11850 4450 2 60 Input ~ 0
JX2_LVDS_9_P
Text GLabel 8100 3400 0 60 Input ~ 0
Text GLabel 10250 4600 0 60 Input ~ 0
JX2_LVDS_8_N
Text GLabel 8100 3550 0 60 Input ~ 0
Text GLabel 11850 4600 2 60 Input ~ 0
JX2_LVDS_9_N
Text GLabel 8150 4000 0 60 Input ~ 0
Text GLabel 10250 4900 0 60 Input ~ 0
JX2_LVDS_10_P
Text GLabel 8150 4150 0 60 Input ~ 0
Text GLabel 11850 4900 2 60 Input ~ 0
JX2_LVDS_11_P
Text GLabel 8150 4300 0 60 Input ~ 0
Text GLabel 10250 5050 0 60 Input ~ 0
JX2_LVDS_10_N
Text GLabel 8150 4450 0 60 Input ~ 0
Text GLabel 11850 5050 2 60 Input ~ 0
JX2_LVDS_11_N
Text GLabel 8150 4900 0 60 Input ~ 0
JX2_LVDS_12_P
Text GLabel 8150 5050 0 60 Input ~ 0
Text GLabel 11850 5350 2 60 Input ~ 0
JX2_LVDS_13_P
Text GLabel 8150 5200 0 60 Input ~ 0
Text GLabel 10250 5500 0 60 Input ~ 0
JX2_LVDS_12_N
Text GLabel 8150 5350 0 60 Input ~ 0
Text GLabel 11850 5500 2 60 Input ~ 0
JX2_LVDS_13_N
Text GLabel 9350 1600 0 60 Input ~ 0
Text GLabel 10250 5950 0 60 Input ~ 0
JX2_LVDS_14_P
Text GLabel 9350 1750 0 60 Input ~ 0
Text GLabel 11850 5950 2 60 Input ~ 0
JX2_LVDS_15_P
Text GLabel 9350 1900 0 60 Input ~ 0
Text GLabel 10250 6100 0 60 Input ~ 0
JX2_LVDS_14_N
Text GLabel 9350 2050 0 60 Input ~ 0
Text GLabel 11850 6100 2 60 Input ~ 0
JX2_LVDS_15_N
Text GLabel 9350 2500 0 60 Input ~ 0
Text GLabel 10250 6400 0 60 Input ~ 0
JX2_LVDS_16_P
Text GLabel 9350 2650 0 60 Input ~ 0
Text GLabel 11850 6400 2 60 Input ~ 0
JX2_LVDS_17_P
Text GLabel 9350 2800 0 60 Input ~ 0
Text GLabel 10250 6550 0 60 Input ~ 0
JX2_LVDS_16_N
Text GLabel 9350 2950 0 60 Input ~ 0
Text GLabel 11850 6550 2 60 Input ~ 0
JX2_LVDS_17_N
Text GLabel 9350 3400 0 60 Input ~ 0
Text GLabel 10250 6850 0 60 Input ~ 0
JX2_LVDS_18_P
Text GLabel 9350 3550 0 60 Input ~ 0
Text GLabel 11850 6850 2 60 Input ~ 0
JX2_LVDS_19_P
Text GLabel 9350 3700 0 60 Input ~ 0
Text GLabel 10250 7000 0 60 Input ~ 0
JX2_LVDS_18_N
Text GLabel 9350 3850 0 60 Input ~ 0
Text GLabel 11850 7000 2 60 Input ~ 0
JX2_LVDS_19_N
Wire Wire Line
1350 3700 1550 3700
Wire Wire Line
1550 3850 1350 3850
Wire Wire Line
1350 4600 1550 4600
Wire Wire Line
1550 4750 1350 4750
Wire Wire Line
1350 5500 1550 5500
Wire Wire Line
1550 5650 1350 5650
Wire Wire Line
2350 4750 2750 4750
Wire Wire Line
2350 4600 2750 4600
Wire Wire Line
2350 3850 2750 3850
Wire Wire Line
2350 3700 2750 3700
Wire Wire Line
2350 2950 2750 2950
Wire Wire Line
2350 2800 2750 2800
Wire Wire Line
2350 2050 2750 2050
Wire Wire Line
2350 1900 2750 1900
Wire Wire Line
3500 2200 3950 2200
Wire Wire Line
3500 2350 3950 2350
Wire Wire Line
3500 3100 3950 3100
Wire Wire Line
3500 3250 3950 3250
Wire Wire Line
3500 4000 3950 4000
Wire Wire Line
3500 5200 3950 5200
Wire Wire Line
3500 5350 3950 5350
Wire Wire Line
6750 3700 6950 3700
Wire Wire Line
6950 3850 6750 3850
Wire Wire Line
6750 4600 6950 4600
Wire Wire Line
6950 4750 6750 4750
Wire Wire Line
6750 5500 6950 5500
Wire Wire Line
6950 5650 6750 5650
Wire Wire Line
7750 4750 8150 4750
Wire Wire Line
7750 4600 8150 4600
Wire Wire Line
7750 3850 8150 3850
Wire Wire Line
7750 3700 8150 3700
Wire Wire Line
7750 2950 8150 2950
Wire Wire Line
7750 2800 8150 2800
Wire Wire Line
7750 2050 8150 2050
Wire Wire Line
7750 1900 8150 1900
Wire Wire Line
8900 2200 9350 2200
Wire Wire Line
8900 2350 9350 2350
Wire Wire Line
8900 3100 9350 3100
Wire Wire Line
8900 3250 9350 3250
Wire Wire Line
8900 4000 9350 4000
Wire Wire Line
8900 5200 9350 5200
Wire Wire Line
8900 5350 9350 5350
Wire Wire Line
1250 3550 1550 3550
Wire Wire Line
1250 3400 1550 3400
Wire Wire Line
1250 3250 1550 3250
Wire Wire Line
1550 3100 1250 3100
Wire Wire Line
1250 4000 1550 4000
Wire Wire Line
1550 4150 1250 4150
Wire Wire Line
1250 4300 1550 4300
Wire Wire Line
1550 4450 1250 4450
Wire Wire Line
1250 4900 1550 4900
Wire Wire Line
1550 5050 1250 5050
Wire Wire Line
1250 5200 1550 5200
Wire Wire Line
1550 5350 1250 5350
Wire Wire Line
1250 5800 1550 5800
Wire Wire Line
1550 5950 1250 5950
Wire Wire Line
4750 2200 5200 2200
Wire Wire Line
5200 2350 4750 2350
Wire Wire Line
2400 4900 2750 4900
Wire Wire Line
2750 5050 2400 5050
Wire Wire Line
2400 5200 2750 5200
Wire Wire Line
3650 1900 3950 1900
Wire Notes Line
6000 7150 3200 7150
Wire Wire Line
6600 3250 6950 3250
Wire Wire Line
6650 2950 6950 2950
Wire Wire Line
1200 2200 1550 2200
Wire Wire Line
3650 1600 3950 1600
Wire Wire Line
3650 1750 3950 1750
Wire Wire Line
1050 1600 1550 1600
Wire Wire Line
1550 1750 1050 1750
Wire Wire Line
1050 1900 1550 1900
Wire Wire Line
1550 2050 1050 2050
Wire Wire Line
1150 2500 1550 2500
Wire Wire Line
1350 2350 1550 2350
Wire Wire Line
1150 2650 1550 2650
Wire Wire Line
1550 2800 1050 2800
Wire Wire Line
1050 2950 1550 2950
Wire Wire Line
5150 1600 5200 1600
Wire Wire Line
5150 1750 5200 1750
Wire Wire Line
5200 1900 5150 1900
Wire Wire Line
5150 2050 5200 2050
Wire Wire Line
4900 2950 5200 2950
Wire Wire Line
4850 2800 5200 2800
Wire Wire Line
4900 2650 5200 2650
Wire Wire Line
4850 2500 5200 2500
Wire Wire Line
6400 2800 6950 2800
Wire Wire Line
6700 3100 6950 3100
Wire Notes Line
7100 2700 6850 2700
14650 9750 11850 9750
Wire Notes Line
6850 2700 6850 1550
10150 1950 9900 1950
Wire Notes Line
6850 1550 7050 1550
Wire Wire Line
6600 3400 6950 3400
Wire Wire Line
6950 3550 6600 3550
Wire Wire Line
6800 4000 6950 4000
Wire Wire Line
6950 4150 6800 4150
Wire Wire Line
6800 4300 6950 4300
Wire Wire Line
6950 4450 6800 4450
Wire Wire Line
6800 4900 6950 4900
Wire Wire Line
6950 5050 6800 5050
Wire Wire Line
6800 5200 6950 5200
Wire Wire Line
6950 5350 6800 5350
Wire Wire Line
6950 5800 6800 5800
Wire Wire Line
6800 5950 6950 5950
Wire Wire Line
8100 1750 8150 1750
Wire Wire Line
8150 1600 8100 1600
Wire Wire Line
8100 2200 8150 2200
Wire Wire Line
8150 2350 8100 2350
Wire Wire Line
8100 2500 8150 2500
Wire Wire Line
8150 2650 8100 2650
Wire Wire Line
8100 3100 8150 3100
Wire Wire Line
8150 3250 8100 3250
Wire Wire Line
8100 3400 8150 3400
Wire Wire Line
8150 3550 8100 3550
Text GLabel 9350 4600 0 60 Input ~ 0
9900 1400 10150 1400
Text GLabel 10250 7450 0 60 Input ~ 0
JX2_LVDS_20_P
Text GLabel 9350 4750 0 60 Input ~ 0
Text GLabel 11850 7450 2 60 Input ~ 0
JX2_LVDS_21_P
Text GLabel 9350 4900 0 60 Input ~ 0
Text GLabel 10250 7600 0 60 Input ~ 0
JX2_LVDS_20_N
Text GLabel 9350 5050 0 60 Input ~ 0
Text GLabel 11850 7600 2 60 Input ~ 0
JX2_LVDS_21_N
Text GLabel 9350 5500 0 60 Input ~ 0
Text GLabel 10250 7900 0 60 Input ~ 0
JX2_LVDS_22_P
Text GLabel 9350 5650 0 60 Input ~ 0
Text GLabel 11850 7900 2 60 Input ~ 0
JX2_LVDS_23_P
Text GLabel 9350 5800 0 60 Input ~ 0
Text GLabel 10250 8050 0 60 Input ~ 0
JX2_LVDS_22_N
Text GLabel 9350 5950 0 60 Input ~ 0
Text GLabel 11850 8050 2 60 Input ~ 0
JX2_LVDS_23_N
$Comp
L GND #PWR053
U 1 1 56E8735A
P 10150 1600
F 0 "#PWR053" H 10150 1350 50 0001 C CNN
F 1 "GND" H 10150 1450 50 0000 C CNN
F 2 "" H 10150 1600 50 0000 C CNN
F 3 "" H 10150 1600 50 0000 C CNN
1 10150 1600
P 10250 8200
F 0 "#PWR053" H 10250 7950 50 0001 C CNN
F 1 "GND" H 10250 8050 50 0000 C CNN
F 2 "" H 10250 8200 50 0000 C CNN
F 3 "" H 10250 8200 50 0000 C CNN
1 10250 8200
0 1 1 0
$EndComp
$Comp
L GND #PWR054
U 1 1 56E87427
P 10150 1750
F 0 "#PWR054" H 10150 1500 50 0001 C CNN
F 1 "GND" H 10150 1600 50 0000 C CNN
F 2 "" H 10150 1750 50 0000 C CNN
F 3 "" H 10150 1750 50 0000 C CNN
1 10150 1750
0 1 1 0
$EndComp
Wire Wire Line
10150 1600 10600 1600
Wire Wire Line
10150 1750 10600 1750
Text GLabel 10600 1900 0 60 Input ~ 0
P 11850 8200
F 0 "#PWR054" H 11850 7950 50 0001 C CNN
F 1 "GND" H 11850 8050 50 0000 C CNN
F 2 "" H 11850 8200 50 0000 C CNN
F 3 "" H 11850 8200 50 0000 C CNN
1 11850 8200
0 -1 -1 0
$EndComp
Text GLabel 10250 8350 0 60 Input ~ 0
B13_LVDS_4_P
Text GLabel 10600 2050 0 60 Input ~ 0
Text GLabel 11850 8350 2 60 Input ~ 0
B13_LVDS_5_P
Text GLabel 10600 2200 0 60 Input ~ 0
Text GLabel 10250 8500 0 60 Input ~ 0
B13_LVDS_4_N
Text GLabel 10600 2350 0 60 Input ~ 0
Text GLabel 11850 8500 2 60 Input ~ 0
B13_LVDS_5_N
Text GLabel 10600 2500 0 60 Input ~ 0
Text GLabel 10250 8650 0 60 Input ~ 0
B13_LVDS_6_P
Text GLabel 10350 2650 0 60 Input Italic 0
Text GLabel 11850 8650 2 60 Input Italic 0
VCCO_13
Text GLabel 10600 2800 0 60 Input ~ 0
Text GLabel 10250 8800 0 60 Input ~ 0
B13_LVDS_6_N
Text GLabel 10400 2950 0 60 Input ~ 0
Text GLabel 11850 8800 2 60 Input ~ 0
B13_SE_0
Wire Wire Line
10350 2650 10600 2650
Wire Wire Line
10600 2950 10400 2950
Wire Notes Line
6000 7150 6000 6800
Wire Notes Line
6000 6800 3200 6800
14650 9750 14650 9400
Wire Notes Line
3200 6800 3200 7150
14650 9400 11850 9400
Wire Notes Line
500 6400 11150 6400
Wire Notes Line
500 1150 11000 1150
Text GLabel 2500 5500 0 60 Input Italic 0
11850 9400 11850 9750
Text GLabel 4550 5700 0 60 Input Italic 0
VIN_57_1
Text GLabel 2500 5650 0 60 Input Italic 0
Text GLabel 6150 5700 2 60 Input Italic 0
VIN_58_1
Text GLabel 2500 5800 0 60 Input Italic 0
Text GLabel 4550 5850 0 60 Input Italic 0
VIN_59_1
Text GLabel 2500 5950 0 60 Input Italic 0
Text GLabel 6150 5850 2 60 Input Italic 0
VIN_60_1
Wire Wire Line
2500 5950 2750 5950
Wire Wire Line
2750 5800 2500 5800
Wire Wire Line
2500 5650 2750 5650
Wire Wire Line
2750 5500 2500 5500
Text GLabel 3850 4150 0 60 Input Italic 0
Text GLabel 6150 7200 2 60 Input Italic 0
VCCO_34_78
Text GLabel 3850 4300 0 60 Input Italic 0
Text GLabel 4550 7350 0 60 Input Italic 0
VCCO_34_79
Text GLabel 3850 4450 0 60 Input Italic 0
Text GLabel 6150 7350 2 60 Input Italic 0
VCCO_34_80
Wire Wire Line
3850 4150 3950 4150
Wire Wire Line
3950 4300 3850 4300
Wire Wire Line
3850 4450 3950 4450
Text GLabel 7900 5500 0 60 Input Italic 0
Text GLabel 10250 5650 0 60 Input Italic 0
VIN_57_2
Text GLabel 7900 5650 0 60 Input Italic 0
Text GLabel 11850 5650 2 60 Input Italic 0
VIN_58_2
Text GLabel 7900 5800 0 60 Input Italic 0
Text GLabel 10250 5800 0 60 Input Italic 0
VIN_59_2
Text GLabel 7900 5950 0 60 Input Italic 0
Text GLabel 11850 5800 2 60 Input Italic 0
VIN_60_2
Wire Wire Line
7900 5500 8150 5500
Wire Wire Line
8150 5650 7900 5650
Wire Wire Line
7900 5800 8150 5800
Wire Wire Line
8150 5950 7900 5950
Text GLabel 9250 4150 0 60 Input Italic 0
Text GLabel 11850 7150 2 60 Input Italic 0
VCCO_35_78
Text GLabel 9250 4300 0 60 Input Italic 0
Text GLabel 10250 7300 0 60 Input Italic 0
VCCO_35_79
Text GLabel 9250 4450 0 60 Input Italic 0
Text GLabel 11850 7300 2 60 Input Italic 0
VCCO_35_80
Wire Notes Line
8650 2250 9150 2250
$Comp
L FCIConn Q1
U 1 1 56E7FC95
P 5350 5100
F 0 "Q1" H 5350 1200 50 0000 L CNN
F 1 "FCIConn" H 5200 1100 50 0000 L CNN
F 2 ".pretty:FCI" H 7335 1440 50 0001 L CIN
F 3 "" H 6050 7600 50 0000 L CNN
1 5350 5100
1 0 0 -1
$EndComp
Text GLabel 10250 5350 0 60 Input ~ 0
JX2_LVDS_12_P
$Comp
L MYCON100 Q2
U 1 1 56C4E56F
P 8850 3450
F 0 "Q2" H 10000 1250 50 0000 L CNN
F 1 "JX2" H 10000 1150 50 0000 L CNN
F 2 ".pretty:FCI" H 8350 700 50 0001 L CIN
F 3 "" H 7200 3250 50 0000 L CNN
1 8850 3450
L FCIConn Q2
U 1 1 56E80637
P 11050 5050
F 0 "Q2" H 11050 1150 50 0000 L CNN
F 1 "FCIConn" H 10900 1050 50 0000 L CNN
F 2 ".pretty:FCI" H 13035 1390 50 0001 L CIN
F 3 "" H 11750 7550 50 0000 L CNN
1 11050 5050
1 0 0 -1
$EndComp
Wire Wire Line
9250 4150 9350 4150
Wire Wire Line
9350 4300 9250 4300
Wire Wire Line
9250 4450 9350 4450
Wire Notes Line
11150 6400 11150 1150
9900 1950 9900 1400
Wire Notes Line
11150 1150 10950 1150
11900 1400 12150 1400
Wire Notes Line
500 6400 500 1150
$Comp
L MYCON100 Q1
U 1 1 56C4AC9D
P 3450 3450
F 0 "Q1" H 4550 1200 50 0000 L CNN
F 1 "JX1" H 4550 1100 50 0000 L CNN
F 2 ".pretty:FCI" H 2950 700 50 0001 L CIN
F 3 "" H 1800 3250 50 0000 L CNN
1 3450 3450
1 0 0 -1
$EndComp
12150 1400 12150 1950
Wire Notes Line
5300 3200 5800 3200
12150 1950 11900 1950
Text GLabel 6150 7650 2 60 Input ~ 0
JX1_LVDS_23_N
$EndSCHEMATC
......@@ -36,7 +36,7 @@ LIBS:opendous
LIBS:adcBoard-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
$Descr A3 16535 11693
encoding utf-8
Sheet 3 7
Title ""
......@@ -51,1121 +51,865 @@ $EndDescr
$Comp
L GND #PWR09
U 1 1 56C2A512
P 1350 3700
F 0 "#PWR09" H 1350 3450 50 0001 C CNN
F 1 "GND" H 1350 3550 50 0000 C CNN
F 2 "" H 1350 3700 50 0000 C CNN
F 3 "" H 1350 3700 50 0000 C CNN
1 1350 3700
P 4550 2550
F 0 "#PWR09" H 4550 2300 50 0001 C CNN
F 1 "GND" H 4550 2400 50 0000 C CNN
F 2 "" H 4550 2550 50 0000 C CNN
F 3 "" H 4550 2550 50 0000 C CNN
1 4550 2550
0 1 1 0
$EndComp
$Comp
L GND #PWR010
U 1 1 56C2A528
P 1350 3850
F 0 "#PWR010" H 1350 3600 50 0001 C CNN
F 1 "GND" H 1350 3700 50 0000 C CNN
F 2 "" H 1350 3850 50 0000 C CNN
F 3 "" H 1350 3850 50 0000 C CNN
1 1350 3850
0 1 1 0
P 6150 2550
F 0 "#PWR010" H 6150 2300 50 0001 C CNN
F 1 "GND" H 6150 2400 50 0000 C CNN
F 2 "" H 6150 2550 50 0000 C CNN
F 3 "" H 6150 2550 50 0000 C CNN
1 6150 2550
0 -1 -1 0
$EndComp
$Comp
L GND #PWR011
U 1 1 56C2A53E
P 1350 4600
F 0 "#PWR011" H 1350 4350 50 0001 C CNN
F 1 "GND" H 1350 4450 50 0000 C CNN
F 2 "" H 1350 4600 50 0000 C CNN
F 3 "" H 1350 4600 50 0000 C CNN
1 1350 4600
P 4550 3000
F 0 "#PWR011" H 4550 2750 50 0001 C CNN
F 1 "GND" H 4550 2850 50 0000 C CNN
F 2 "" H 4550 3000 50 0000 C CNN
F 3 "" H 4550 3000 50 0000 C CNN
1 4550 3000
0 1 1 0
$EndComp
$Comp
L GND #PWR012
U 1 1 56C2A554
P 1350 4750
F 0 "#PWR012" H 1350 4500 50 0001 C CNN
F 1 "GND" H 1350 4600 50 0000 C CNN
F 2 "" H 1350 4750 50 0000 C CNN
F 3 "" H 1350 4750 50 0000 C CNN
1 1350 4750
0 1 1 0
P 6150 3000
F 0 "#PWR012" H 6150 2750 50 0001 C CNN
F 1 "GND" H 6150 2850 50 0000 C CNN
F 2 "" H 6150 3000 50 0000 C CNN
F 3 "" H 6150 3000 50 0000 C CNN
1 6150 3000
0 -1 -1 0
$EndComp
$Comp
L GND #PWR013
U 1 1 56C2A56A
P 1350 5500
F 0 "#PWR013" H 1350 5250 50 0001 C CNN
F 1 "GND" H 1350 5350 50 0000 C CNN
F 2 "" H 1350 5500 50 0000 C CNN
F 3 "" H 1350 5500 50 0000 C CNN
1 1350 5500
P 4550 3450
F 0 "#PWR013" H 4550 3200 50 0001 C CNN
F 1 "GND" H 4550 3300 50 0000 C CNN
F 2 "" H 4550 3450 50 0000 C CNN
F 3 "" H 4550 3450 50 0000 C CNN
1 4550 3450
0 1 1 0
$EndComp
$Comp
L GND #PWR014
U 1 1 56C2A581
P 1350 5650
F 0 "#PWR014" H 1350 5400 50 0001 C CNN
F 1 "GND" H 1350 5500 50 0000 C CNN
F 2 "" H 1350 5650 50 0000 C CNN
F 3 "" H 1350 5650 50 0000 C CNN
1 1350 5650
0 1 1 0
P 6150 3450
F 0 "#PWR014" H 6150 3200 50 0001 C CNN
F 1 "GND" H 6150 3300 50 0000 C CNN
F 2 "" H 6150 3450 50 0000 C CNN
F 3 "" H 6150 3450 50 0000 C CNN
1 6150 3450
0 -1 -1 0
$EndComp
$Comp
L GND #PWR015
U 1 1 56C2A5B3
P 2350 1900
F 0 "#PWR015" H 2350 1650 50 0001 C CNN
F 1 "GND" H 2350 1750 50 0000 C CNN
F 2 "" H 2350 1900 50 0000 C CNN
F 3 "" H 2350 1900 50 0000 C CNN
1 2350 1900
P 4550 3900
F 0 "#PWR015" H 4550 3650 50 0001 C CNN
F 1 "GND" H 4550 3750 50 0000 C CNN
F 2 "" H 4550 3900 50 0000 C CNN
F 3 "" H 4550 3900 50 0000 C CNN
1 4550 3900
0 1 1 0
$EndComp
$Comp
L GND #PWR016
U 1 1 56C2A5C9
P 2350 2050
F 0 "#PWR016" H 2350 1800 50 0001 C CNN
F 1 "GND" H 2350 1900 50 0000 C CNN
F 2 "" H 2350 2050 50 0000 C CNN
F 3 "" H 2350 2050 50 0000 C CNN
1 2350 2050
0 1 1 0
P 6150 3900
F 0 "#PWR016" H 6150 3650 50 0001 C CNN
F 1 "GND" H 6150 3750 50 0000 C CNN
F 2 "" H 6150 3900 50 0000 C CNN
F 3 "" H 6150 3900 50 0000 C CNN
1 6150 3900
0 -1 -1 0
$EndComp
$Comp
L GND #PWR017
U 1 1 56C2A5DF
P 2350 2800
F 0 "#PWR017" H 2350 2550 50 0001 C CNN
F 1 "GND" H 2350 2650 50 0000 C CNN
F 2 "" H 2350 2800 50 0000 C CNN
F 3 "" H 2350 2800 50 0000 C CNN
1 2350 2800
P 4550 4350
F 0 "#PWR017" H 4550 4100 50 0001 C CNN
F 1 "GND" H 4550 4200 50 0000 C CNN
F 2 "" H 4550 4350 50 0000 C CNN
F 3 "" H 4550 4350 50 0000 C CNN
1 4550 4350
0 1 1 0
$EndComp
$Comp
L GND #PWR018
U 1 1 56C2A5F5
P 2350 2950
F 0 "#PWR018" H 2350 2700 50 0001 C CNN
F 1 "GND" H 2350 2800 50 0000 C CNN
F 2 "" H 2350 2950 50 0000 C CNN
F 3 "" H 2350 2950 50 0000 C CNN
1 2350 2950
0 1 1 0
P 6150 4350
F 0 "#PWR018" H 6150 4100 50 0001 C CNN
F 1 "GND" H 6150 4200 50 0000 C CNN
F 2 "" H 6150 4350 50 0000 C CNN
F 3 "" H 6150 4350 50 0000 C CNN
1 6150 4350
0 -1 -1 0
$EndComp
$Comp
L GND #PWR019
U 1 1 56C2A60B
P 2350 3700
F 0 "#PWR019" H 2350 3450 50 0001 C CNN
F 1 "GND" H 2350 3550 50 0000 C CNN
F 2 "" H 2350 3700 50 0000 C CNN
F 3 "" H 2350 3700 50 0000 C CNN
1 2350 3700
P 4550 4800
F 0 "#PWR019" H 4550 4550 50 0001 C CNN
F 1 "GND" H 4550 4650 50 0000 C CNN
F 2 "" H 4550 4800 50 0000 C CNN
F 3 "" H 4550 4800 50 0000 C CNN
1 4550 4800
0 1 1 0
$EndComp
$Comp
L GND #PWR020
U 1 1 56C2A621
P 2350 3850
F 0 "#PWR020" H 2350 3600 50 0001 C CNN
F 1 "GND" H 2350 3700 50 0000 C CNN
F 2 "" H 2350 3850 50 0000 C CNN
F 3 "" H 2350 3850 50 0000 C CNN
1 2350 3850
0 1 1 0
P 6150 4800
F 0 "#PWR020" H 6150 4550 50 0001 C CNN
F 1 "GND" H 6150 4650 50 0000 C CNN
F 2 "" H 6150 4800 50 0000 C CNN
F 3 "" H 6150 4800 50 0000 C CNN
1 6150 4800
0 -1 -1 0
$EndComp
$Comp
L GND #PWR021
U 1 1 56C2A637
P 2350 4600
F 0 "#PWR021" H 2350 4350 50 0001 C CNN
F 1 "GND" H 2350 4450 50 0000 C CNN
F 2 "" H 2350 4600 50 0000 C CNN
F 3 "" H 2350 4600 50 0000 C CNN
1 2350 4600
P 4550 5250
F 0 "#PWR021" H 4550 5000 50 0001 C CNN
F 1 "GND" H 4550 5100 50 0000 C CNN
F 2 "" H 4550 5250 50 0000 C CNN
F 3 "" H 4550 5250 50 0000 C CNN
1 4550 5250
0 1 1 0
$EndComp
$Comp
L GND #PWR022
U 1 1 56C2A64D
P 2350 4750
F 0 "#PWR022" H 2350 4500 50 0001 C CNN
F 1 "GND" H 2350 4600 50 0000 C CNN
F 2 "" H 2350 4750 50 0000 C CNN
F 3 "" H 2350 4750 50 0000 C CNN
1 2350 4750
0 1 1 0
P 6150 5250
F 0 "#PWR022" H 6150 5000 50 0001 C CNN
F 1 "GND" H 6150 5100 50 0000 C CNN
F 2 "" H 6150 5250 50 0000 C CNN
F 3 "" H 6150 5250 50 0000 C CNN
1 6150 5250
0 -1 -1 0
$EndComp
$Comp
L GND #PWR023
U 1 1 56C2A663
P 3500 2200
F 0 "#PWR023" H 3500 1950 50 0001 C CNN
F 1 "GND" H 3500 2050 50 0000 C CNN
F 2 "" H 3500 2200 50 0000 C CNN
F 3 "" H 3500 2200 50 0000 C CNN
1 3500 2200
P 4550 6300
F 0 "#PWR023" H 4550 6050 50 0001 C CNN
F 1 "GND" H 4550 6150 50 0000 C CNN
F 2 "" H 4550 6300 50 0000 C CNN
F 3 "" H 4550 6300 50 0000 C CNN
1 4550 6300
0 1 1 0
$EndComp
$Comp
L GND #PWR024
U 1 1 56C2A679
P 3500 2350
F 0 "#PWR024" H 3500 2100 50 0001 C CNN
F 1 "GND" H 3500 2200 50 0000 C CNN
F 2 "" H 3500 2350 50 0000 C CNN
F 3 "" H 3500 2350 50 0000 C CNN
1 3500 2350
0 1 1 0
P 6150 6300
F 0 "#PWR024" H 6150 6050 50 0001 C CNN
F 1 "GND" H 6150 6150 50 0000 C CNN
F 2 "" H 6150 6300 50 0000 C CNN
F 3 "" H 6150 6300 50 0000 C CNN
1 6150 6300
0 -1 -1 0
$EndComp
$Comp
L GND #PWR025
U 1 1 56C2A68F
P 3500 3100
F 0 "#PWR025" H 3500 2850 50 0001 C CNN
F 1 "GND" H 3500 2950 50 0000 C CNN
F 2 "" H 3500 3100 50 0000 C CNN
F 3 "" H 3500 3100 50 0000 C CNN
1 3500 3100
P 4550 6750
F 0 "#PWR025" H 4550 6500 50 0001 C CNN
F 1 "GND" H 4550 6600 50 0000 C CNN
F 2 "" H 4550 6750 50 0000 C CNN
F 3 "" H 4550 6750 50 0000 C CNN
1 4550 6750
0 1 1 0
$EndComp
$Comp
L GND #PWR026
U 1 1 56C2A6A5
P 3500 3250
F 0 "#PWR026" H 3500 3000 50 0001 C CNN
F 1 "GND" H 3500 3100 50 0000 C CNN
F 2 "" H 3500 3250 50 0000 C CNN
F 3 "" H 3500 3250 50 0000 C CNN
1 3500 3250
0 1 1 0
P 6150 6750
F 0 "#PWR026" H 6150 6500 50 0001 C CNN
F 1 "GND" H 6150 6600 50 0000 C CNN
F 2 "" H 6150 6750 50 0000 C CNN
F 3 "" H 6150 6750 50 0000 C CNN
1 6150 6750
0 -1 -1 0
$EndComp
$Comp
L GND #PWR027
U 1 1 56C2A6BB
P 3500 4000
F 0 "#PWR027" H 3500 3750 50 0001 C CNN
F 1 "GND" H 3500 3850 50 0000 C CNN
F 2 "" H 3500 4000 50 0000 C CNN
F 3 "" H 3500 4000 50 0000 C CNN
1 3500 4000
P 4550 7200
F 0 "#PWR027" H 4550 6950 50 0001 C CNN
F 1 "GND" H 4550 7050 50 0000 C CNN
F 2 "" H 4550 7200 50 0000 C CNN
F 3 "" H 4550 7200 50 0000 C CNN
1 4550 7200
0 1 1 0
$EndComp
$Comp
L GND #PWR028
U 1 1 56C2A6D1
P 3500 5200
F 0 "#PWR028" H 3500 4950 50 0001 C CNN
F 1 "GND" H 3500 5050 50 0000 C CNN
F 2 "" H 3500 5200 50 0000 C CNN
F 3 "" H 3500 5200 50 0000 C CNN
1 3500 5200
P 4550 7800
F 0 "#PWR028" H 4550 7550 50 0001 C CNN
F 1 "GND" H 4550 7650 50 0000 C CNN
F 2 "" H 4550 7800 50 0000 C CNN
F 3 "" H 4550 7800 50 0000 C CNN
1 4550 7800
0 1 1 0
$EndComp
$Comp
L GND #PWR029
U 1 1 56C2A6E7
P 3500 5350
F 0 "#PWR029" H 3500 5100 50 0001 C CNN
F 1 "GND" H 3500 5200 50 0000 C CNN
F 2 "" H 3500 5350 50 0000 C CNN
F 3 "" H 3500 5350 50 0000 C CNN
1 3500 5350
0 1 1 0
P 6150 7800
F 0 "#PWR029" H 6150 7550 50 0001 C CNN
F 1 "GND" H 6150 7650 50 0000 C CNN
F 2 "" H 6150 7800 50 0000 C CNN
F 3 "" H 6150 7800 50 0000 C CNN
1 6150 7800
0 -1 -1 0
$EndComp
$Comp
L GND #PWR030
U 1 1 56C2A6FD
P 4750 2200
F 0 "#PWR030" H 4750 1950 50 0001 C CNN
F 1 "GND" H 4750 2050 50 0000 C CNN
F 2 "" H 4750 2200 50 0000 C CNN
F 3 "" H 4750 2200 50 0000 C CNN
1 4750 2200
P 4550 8550
F 0 "#PWR030" H 4550 8300 50 0001 C CNN
F 1 "GND" H 4550 8400 50 0000 C CNN
F 2 "" H 4550 8550 50 0000 C CNN
F 3 "" H 4550 8550 50 0000 C CNN
1 4550 8550
0 1 1 0
$EndComp
$Comp
L GND #PWR031
U 1 1 56C2A713
P 4750 2350
F 0 "#PWR031" H 4750 2100 50 0001 C CNN
F 1 "GND" H 4750 2200 50 0000 C CNN
F 2 "" H 4750 2350 50 0000 C CNN
F 3 "" H 4750 2350 50 0000 C CNN
1 4750 2350
0 1 1 0
P 6150 8550
F 0 "#PWR031" H 6150 8300 50 0001 C CNN
F 1 "GND" H 6150 8400 50 0000 C CNN
F 2 "" H 6150 8550 50 0000 C CNN
F 3 "" H 6150 8550 50 0000 C CNN
1 6150 8550
0 -1 -1 0
$EndComp
$Comp
L GND #PWR032
U 1 1 56C2A989
P 6750 3700
F 0 "#PWR032" H 6750 3450 50 0001 C CNN
F 1 "GND" H 6750 3550 50 0000 C CNN
F 2 "" H 6750 3700 50 0000 C CNN
F 3 "" H 6750 3700 50 0000 C CNN
1 6750 3700
P 10250 2500
F 0 "#PWR032" H 10250 2250 50 0001 C CNN
F 1 "GND" H 10250 2350 50 0000 C CNN
F 2 "" H 10250 2500 50 0000 C CNN
F 3 "" H 10250 2500 50 0000 C CNN
1 10250 2500
0 1 1 0
$EndComp
$Comp
L GND #PWR033
U 1 1 56C2A98F
P 6750 3850
F 0 "#PWR033" H 6750 3600 50 0001 C CNN
F 1 "GND" H 6750 3700 50 0000 C CNN
F 2 "" H 6750 3850 50 0000 C CNN
F 3 "" H 6750 3850 50 0000 C CNN
1 6750 3850
0 1 1 0
P 11850 2500
F 0 "#PWR033" H 11850 2250 50 0001 C CNN
F 1 "GND" H 11850 2350 50 0000 C CNN
F 2 "" H 11850 2500 50 0000 C CNN
F 3 "" H 11850 2500 50 0000 C CNN
1 11850 2500
0 -1 -1 0
$EndComp
$Comp
L GND #PWR034
U 1 1 56C2A995
P 6750 4600
F 0 "#PWR034" H 6750 4350 50 0001 C CNN
F 1 "GND" H 6750 4450 50 0000 C CNN
F 2 "" H 6750 4600 50 0000 C CNN
F 3 "" H 6750 4600 50 0000 C CNN
1 6750 4600
P 10250 2950
F 0 "#PWR034" H 10250 2700 50 0001 C CNN
F 1 "GND" H 10250 2800 50 0000 C CNN
F 2 "" H 10250 2950 50 0000 C CNN
F 3 "" H 10250 2950 50 0000 C CNN
1 10250 2950
0 1 1 0
$EndComp
$Comp
L GND #PWR035
U 1 1 56C2A99B
P 6750 4750
F 0 "#PWR035" H 6750 4500 50 0001 C CNN
F 1 "GND" H 6750 4600 50 0000 C CNN
F 2 "" H 6750 4750 50 0000 C CNN
F 3 "" H 6750 4750 50 0000 C CNN
1 6750 4750
0 1 1 0
P 11850 2950
F 0 "#PWR035" H 11850 2700 50 0001 C CNN
F 1 "GND" H 11850 2800 50 0000 C CNN
F 2 "" H 11850 2950 50 0000 C CNN
F 3 "" H 11850 2950 50 0000 C CNN
1 11850 2950
0 -1 -1 0
$EndComp
$Comp
L GND #PWR036
U 1 1 56C2A9A1
P 6750 5500
F 0 "#PWR036" H 6750 5250 50 0001 C CNN
F 1 "GND" H 6750 5350 50 0000 C CNN
F 2 "" H 6750 5500 50 0000 C CNN
F 3 "" H 6750 5500 50 0000 C CNN
1 6750 5500
P 10250 3400
F 0 "#PWR036" H 10250 3150 50 0001 C CNN
F 1 "GND" H 10250 3250 50 0000 C CNN
F 2 "" H 10250 3400 50 0000 C CNN
F 3 "" H 10250 3400 50 0000 C CNN
1 10250 3400
0 1 1 0
$EndComp
$Comp
L GND #PWR037
U 1 1 56C2A9A7
P 6750 5650
F 0 "#PWR037" H 6750 5400 50 0001 C CNN
F 1 "GND" H 6750 5500 50 0000 C CNN
F 2 "" H 6750 5650 50 0000 C CNN
F 3 "" H 6750 5650 50 0000 C CNN
1 6750 5650
0 1 1 0
P 11850 3400
F 0 "#PWR037" H 11850 3150 50 0001 C CNN
F 1 "GND" H 11850 3250 50 0000 C CNN
F 2 "" H 11850 3400 50 0000 C CNN
F 3 "" H 11850 3400 50 0000 C CNN
1 11850 3400
0 -1 -1 0
$EndComp
$Comp
L GND #PWR038
U 1 1 56C2A9AD
P 7750 1900
F 0 "#PWR038" H 7750 1650 50 0001 C CNN
F 1 "GND" H 7750 1750 50 0000 C CNN
F 2 "" H 7750 1900 50 0000 C CNN
F 3 "" H 7750 1900 50 0000 C CNN
1 7750 1900
P 10250 3850
F 0 "#PWR038" H 10250 3600 50 0001 C CNN
F 1 "GND" H 10250 3700 50 0000 C CNN
F 2 "" H 10250 3850 50 0000 C CNN
F 3 "" H 10250 3850 50 0000 C CNN
1 10250 3850
0 1 1 0
$EndComp
$Comp
L GND #PWR039
U 1 1 56C2A9B3
P 7750 2050
F 0 "#PWR039" H 7750 1800 50 0001 C CNN
F 1 "GND" H 7750 1900 50 0000 C CNN
F 2 "" H 7750 2050 50 0000 C CNN
F 3 "" H 7750 2050 50 0000 C CNN
1 7750 2050
0 1 1 0
P 11850 3850
F 0 "#PWR039" H 11850 3600 50 0001 C CNN
F 1 "GND" H 11850 3700 50 0000 C CNN
F 2 "" H 11850 3850 50 0000 C CNN
F 3 "" H 11850 3850 50 0000 C CNN
1 11850 3850
0 -1 -1 0
$EndComp
$Comp
L GND #PWR040
U 1 1 56C2A9B9
P 7750 2800
F 0 "#PWR040" H 7750 2550 50 0001 C CNN
F 1 "GND" H 7750 2650 50 0000 C CNN
F 2 "" H 7750 2800 50 0000 C CNN
F 3 "" H 7750 2800 50 0000 C CNN
1 7750 2800
P 10250 4300
F 0 "#PWR040" H 10250 4050 50 0001 C CNN
F 1 "GND" H 10250 4150 50 0000 C CNN
F 2 "" H 10250 4300 50 0000 C CNN
F 3 "" H 10250 4300 50 0000 C CNN
1 10250 4300
0 1 1 0
$EndComp
$Comp
L GND #PWR041
U 1 1 56C2A9BF
P 7750 2950
F 0 "#PWR041" H 7750 2700 50 0001 C CNN
F 1 "GND" H 7750 2800 50 0000 C CNN
F 2 "" H 7750 2950 50 0000 C CNN
F 3 "" H 7750 2950 50 0000 C CNN
1 7750 2950
0 1 1 0
P 11850 4300
F 0 "#PWR041" H 11850 4050 50 0001 C CNN
F 1 "GND" H 11850 4150 50 0000 C CNN
F 2 "" H 11850 4300 50 0000 C CNN
F 3 "" H 11850 4300 50 0000 C CNN
1 11850 4300
0 -1 -1 0
$EndComp
$Comp
L GND #PWR042
U 1 1 56C2A9C5
P 7750 3700
F 0 "#PWR042" H 7750 3450 50 0001 C CNN
F 1 "GND" H 7750 3550 50 0000 C CNN
F 2 "" H 7750 3700 50 0000 C CNN
F 3 "" H 7750 3700 50 0000 C CNN
1 7750 3700
P 10250 4750
F 0 "#PWR042" H 10250 4500 50 0001 C CNN
F 1 "GND" H 10250 4600 50 0000 C CNN
F 2 "" H 10250 4750 50 0000 C CNN
F 3 "" H 10250 4750 50 0000 C CNN
1 10250 4750
0 1 1 0
$EndComp
$Comp
L GND #PWR043
U 1 1 56C2A9CB
P 7750 3850
F 0 "#PWR043" H 7750 3600 50 0001 C CNN
F 1 "GND" H 7750 3700 50 0000 C CNN
F 2 "" H 7750 3850 50 0000 C CNN
F 3 "" H 7750 3850 50 0000 C CNN
1 7750 3850
0 1 1 0
P 11850 4750
F 0 "#PWR043" H 11850 4500 50 0001 C CNN
F 1 "GND" H 11850 4600 50 0000 C CNN
F 2 "" H 11850 4750 50 0000 C CNN
F 3 "" H 11850 4750 50 0000 C CNN
1 11850 4750
0 -1 -1 0
$EndComp
$Comp
L GND #PWR044
U 1 1 56C2A9D1
P 7750 4600
F 0 "#PWR044" H 7750 4350 50 0001 C CNN
F 1 "GND" H 7750 4450 50 0000 C CNN
F 2 "" H 7750 4600 50 0000 C CNN
F 3 "" H 7750 4600 50 0000 C CNN
1 7750 4600
P 10250 5200
F 0 "#PWR044" H 10250 4950 50 0001 C CNN
F 1 "GND" H 10250 5050 50 0000 C CNN
F 2 "" H 10250 5200 50 0000 C CNN
F 3 "" H 10250 5200 50 0000 C CNN
1 10250 5200
0 1 1 0
$EndComp
$Comp
L GND #PWR045
U 1 1 56C2A9D7
P 7750 4750
F 0 "#PWR045" H 7750 4500 50 0001 C CNN
F 1 "GND" H 7750 4600 50 0000 C CNN
F 2 "" H 7750 4750 50 0000 C CNN
F 3 "" H 7750 4750 50 0000 C CNN
1 7750 4750
0 1 1 0
P 11850 5200
F 0 "#PWR045" H 11850 4950 50 0001 C CNN
F 1 "GND" H 11850 5050 50 0000 C CNN
F 2 "" H 11850 5200 50 0000 C CNN
F 3 "" H 11850 5200 50 0000 C CNN
1 11850 5200
0 -1 -1 0
$EndComp
$Comp
L GND #PWR046
U 1 1 56C2A9DD
P 8900 2200
F 0 "#PWR046" H 8900 1950 50 0001 C CNN
F 1 "GND" H 8900 2050 50 0000 C CNN
F 2 "" H 8900 2200 50 0000 C CNN
F 3 "" H 8900 2200 50 0000 C CNN
1 8900 2200
P 10250 6250
F 0 "#PWR046" H 10250 6000 50 0001 C CNN
F 1 "GND" H 10250 6100 50 0000 C CNN
F 2 "" H 10250 6250 50 0000 C CNN
F 3 "" H 10250 6250 50 0000 C CNN
1 10250 6250
0 1 1 0
$EndComp
$Comp
L GND #PWR047
U 1 1 56C2A9E3
P 8900 2350
F 0 "#PWR047" H 8900 2100 50 0001 C CNN
F 1 "GND" H 8900 2200 50 0000 C CNN
F 2 "" H 8900 2350 50 0000 C CNN
F 3 "" H 8900 2350 50 0000 C CNN
1 8900 2350
0 1 1 0
P 11850 6250
F 0 "#PWR047" H 11850 6000 50 0001 C CNN
F 1 "GND" H 11850 6100 50 0000 C CNN
F 2 "" H 11850 6250 50 0000 C CNN
F 3 "" H 11850 6250 50 0000 C CNN
1 11850 6250
0 -1 -1 0
$EndComp
$Comp
L GND #PWR048
U 1 1 56C2A9E9
P 8900 3100
F 0 "#PWR048" H 8900 2850 50 0001 C CNN
F 1 "GND" H 8900 2950 50 0000 C CNN
F 2 "" H 8900 3100 50 0000 C CNN
F 3 "" H 8900 3100 50 0000 C CNN
1 8900 3100
P 10250 6700
F 0 "#PWR048" H 10250 6450 50 0001 C CNN
F 1 "GND" H 10250 6550 50 0000 C CNN
F 2 "" H 10250 6700 50 0000 C CNN
F 3 "" H 10250 6700 50 0000 C CNN
1 10250 6700
0 1 1 0
$EndComp
$Comp
L GND #PWR049
U 1 1 56C2A9EF
P 8900 3250
F 0 "#PWR049" H 8900 3000 50 0001 C CNN
F 1 "GND" H 8900 3100 50 0000 C CNN
F 2 "" H 8900 3250 50 0000 C CNN
F 3 "" H 8900 3250 50 0000 C CNN
1 8900 3250
0 1 1 0
P 11850 6700
F 0 "#PWR049" H 11850 6450 50 0001 C CNN
F 1 "GND" H 11850 6550 50 0000 C CNN
F 2 "" H 11850 6700 50 0000 C CNN
F 3 "" H 11850 6700 50 0000 C CNN
1 11850 6700
0 -1 -1 0
$EndComp
$Comp
L GND #PWR050
U 1 1 56C2A9F5
P 8900 4000
F 0 "#PWR050" H 8900 3750 50 0001 C CNN
F 1 "GND" H 8900 3850 50 0000 C CNN
F 2 "" H 8900 4000 50 0000 C CNN
F 3 "" H 8900 4000 50 0000 C CNN
1 8900 4000
P 10250 7150
F 0 "#PWR050" H 10250 6900 50 0001 C CNN
F 1 "GND" H 10250 7000 50 0000 C CNN
F 2 "" H 10250 7150 50 0000 C CNN
F 3 "" H 10250 7150 50 0000 C CNN
1 10250 7150
0 1 1 0
$EndComp
$Comp
L GND #PWR051
U 1 1 56C2A9FB
P 8900 5200
F 0 "#PWR051" H 8900 4950 50 0001 C CNN
F 1 "GND" H 8900 5050 50 0000 C CNN
F 2 "" H 8900 5200 50 0000 C CNN
F 3 "" H 8900 5200 50 0000 C CNN
1 8900 5200
P 10250 7750
F 0 "#PWR051" H 10250 7500 50 0001 C CNN
F 1 "GND" H 10250 7600 50 0000 C CNN
F 2 "" H 10250 7750 50 0000 C CNN
F 3 "" H 10250 7750 50 0000 C CNN
1 10250 7750
0 1 1 0
$EndComp
$Comp
L GND #PWR052
U 1 1 56C2AA01
P 8900 5350
F 0 "#PWR052" H 8900 5100 50 0001 C CNN
F 1 "GND" H 8900 5200 50 0000 C CNN
F 2 "" H 8900 5350 50 0000 C CNN
F 3 "" H 8900 5350 50 0000 C CNN
1 8900 5350
0 1 1 0
$EndComp
Text GLabel 1250 3100 0 60 Input ~ 12
P 11850 7750
F 0 "#PWR052" H 11850 7500 50 0001 C CNN
F 1 "GND" H 11850 7600 50 0000 C CNN
F 2 "" H 11850 7750 50 0000 C CNN
F 3 "" H 11850 7750 50 0000 C CNN
1 11850 7750
0 -1 -1 0
$EndComp
Text GLabel 4550 2250 0 60 Input ~ 12
JX1_LVDS_0_P
Text GLabel 1250 3400 0 60 Input ~ 12
Text GLabel 4550 2400 0 60 Input ~ 12
JX1_LVDS_0_N
Text GLabel 1250 3250 0 60 Input ~ 12
Text GLabel 6150 2250 2 60 Input ~ 12
JX1_LVDS_1_P
Text GLabel 1250 3550 0 60 Input ~ 12
Text GLabel 6150 2400 2 60 Input ~ 12
JX1_LVDS_1_N
Text GLabel 1250 4000 0 60 Input ~ 12
Text GLabel 4550 2700 0 60 Input ~ 12
JX1_LVDS_2_P
Text GLabel 1250 4300 0 60 Input ~ 12
Text GLabel 4550 2850 0 60 Input ~ 12
JX1_LVDS_2_N
Text GLabel 1250 4150 0 60 Input ~ 12
Text GLabel 6150 2700 2 60 Input ~ 12
JX1_LVDS_3_P
Text GLabel 1250 4450 0 60 Input ~ 12
Text GLabel 6150 2850 2 60 Input ~ 12
JX1_LVDS_3_N
Text GLabel 1250 4900 0 60 Input ~ 12
Text GLabel 4550 3150 0 60 Input ~ 12
JX1_LVDS_4_P
Text GLabel 1250 5200 0 60 Input ~ 12
Text GLabel 4550 3300 0 60 Input ~ 12
JX1_LVDS_4_N
Text GLabel 1250 5050 0 60 Input ~ 12
Text GLabel 6150 3150 2 60 Input ~ 12
JX1_LVDS_5_P
Text GLabel 1250 5350 0 60 Input ~ 12
Text GLabel 6150 3300 2 60 Input ~ 12
JX1_LVDS_5_N
NoConn ~ 6950 1600
NoConn ~ 6950 1750
NoConn ~ 6950 1900
NoConn ~ 6950 2050
NoConn ~ 6950 2200
NoConn ~ 6950 2350
NoConn ~ 6950 2500
NoConn ~ 6950 2650
Text GLabel 2750 1600 0 60 Input ~ 12
NoConn ~ 10250 1450
NoConn ~ 11850 1450
NoConn ~ 10250 1600
NoConn ~ 11850 1600
NoConn ~ 10250 1750
NoConn ~ 11850 1750
NoConn ~ 10250 1900
NoConn ~ 11850 1900
Text GLabel 4550 3750 0 60 Input ~ 12
JX1_LVDS_6_N
Text GLabel 2750 1750 0 60 Input ~ 12
Text GLabel 6150 3750 2 60 Input ~ 12
JX1_LVDS_7_N
Text GLabel 2750 2200 0 60 Input ~ 12
Text GLabel 4550 4050 0 60 Input ~ 12
JX1_LVDS_8_P
Text GLabel 2750 2350 0 60 Input ~ 12
Text GLabel 6150 4050 2 60 Input ~ 12
JX1_LVDS_9_P
Text GLabel 2750 2500 0 60 Input ~ 12
Text GLabel 4550 4200 0 60 Input ~ 12
JX1_LVDS_8_N
Text GLabel 2750 2650 0 60 Input ~ 12
Text GLabel 6150 4200 2 60 Input ~ 12
JX1_LVDS_9_N
Text GLabel 2750 3100 0 60 Input ~ 12
Text GLabel 4550 4500 0 60 Input ~ 12
JX1_LVDS_10_P
Text GLabel 1250 5800 0 60 Input ~ 12
Text GLabel 4550 3600 0 60 Input ~ 12
JX1_LVDS_6_P
Text GLabel 1250 5950 0 60 Input ~ 12
Text GLabel 6150 3600 2 60 Input ~ 12
JX1_LVDS_7_P
Text GLabel 2750 3400 0 60 Input ~ 12
Text GLabel 4550 4650 0 60 Input ~ 12
JX1_LVDS_10_N
Text GLabel 2400 4900 0 60 Input ~ 12
SCLK_1
Text GLabel 2400 5200 0 60 Input ~ 12
CSB_1
Text GLabel 3650 1750 0 60 Input ~ 12
SDIO_2
Text GLabel 3650 1600 0 60 Input ~ 12
SCLK_2
Text GLabel 3650 1900 0 60 Input ~ 12
CSB_2
Text GLabel 2750 4150 0 60 Input ~ 12
Text GLabel 4550 5400 0 60 Input ~ 12
JX1_LVDS_14_P
Text GLabel 4550 5550 0 60 Input ~ 12
JX1_LVDS_14_N
Text GLabel 6150 6000 2 60 Input ~ 12
JX1_LVDS_17_P
Text GLabel 4550 6000 0 60 Input ~ 12
JX1_LVDS_16_P
Text GLabel 4550 6150 0 60 Input ~ 12
JX1_LVDS_16_N
Text GLabel 6150 4950 2 60 Input ~ 12
JX1_LVDS_13_P
Text GLabel 2750 4450 0 60 Input ~ 12
Text GLabel 6150 5100 2 60 Input ~ 12
JX1_LVDS_13_N
Text GLabel 2400 5050 0 60 Input ~ 12
SDIO_1
Text Notes 3300 7000 0 60 ~ 0
Text GLabel 6150 5400 2 60 Input ~ 12
JX1_LVDS_15_P
Text Notes 11950 9600 0 60 ~ 0
JX1_LVDS_11_P/N and JX1_LVDS_12_P/N clock capable\n
Text Notes 3200 7450 0 60 ~ 0
Text Notes 11850 10050 0 60 ~ 0
\nBank34 has to be powered by the carrier board (ZedBoard doc. p. 23)\n\n\n
Text GLabel 6600 3250 0 60 Input Italic 0
Text GLabel 11850 2200 2 60 Input Italic 0
VIN_12_2
Text GLabel 6650 2950 0 60 Input ~ 12
Text GLabel 11850 2050 2 60 Input ~ 12
VCCIO_EN
Text GLabel 1200 2200 0 60 Input ~ 12
Text GLabel 4550 1800 0 60 Input ~ 12
PWR_ENABLE
Text Notes 7100 7100 0 60 ~ 0
Text Notes 11850 10550 0 60 ~ 0
POWER SEQUENCING\n1 - LM46303 gives the microZed 5V on VIN_HDR\n2 - LM46303 gives the microZed 5V on PWR_ENABLE (Same time as 1)\n3 - microZed gives the LP3982ILD the VCCIO_EN signal\n4 - The LP3982ILD gives a powerGood signal to the LT3042\n5 - The LP3982ILD powers the microZed's bank 34 (same time as 4)
Text Notes 5300 3150 0 60 ~ 0
Text Notes 8650 2200 0 60 ~ 0
PG_MODULE -->
Text Notes 4750 4750 0 98 ~ 0
Text Notes 5200 5150 0 98 ~ 0
JX1
Text Notes 10250 4800 0 98 ~ 0
Text Notes 10900 5150 0 98 ~ 0
JX2\n
Text GLabel 1050 1600 0 60 Input ~ 0
Text GLabel 4550 1500 0 60 Input ~ 0
JTAG_TCK
Text GLabel 1050 1900 0 60 Input ~ 0
Text GLabel 4550 1650 0 60 Input ~ 0
JTAG_TDO
Text GLabel 1050 1750 0 60 Input ~ 0
Text GLabel 6150 1500 2 60 Input ~ 0
JTAG_TMS
Text GLabel 1050 2050 0 60 Input ~ 0
Text GLabel 6150 1650 2 60 Input ~ 0
JTAG_TDI
Text GLabel 1350 2350 0 60 Input ~ 0
Text GLabel 6150 1800 2 60 Input ~ 0
CARRIER_SRST#
Text GLabel 1150 2500 0 60 Input ~ 0
Text GLabel 4550 1950 0 60 Input ~ 0
FPGA_VBATT
Text GLabel 1150 2650 0 60 Input ~ 0
Text GLabel 6150 1950 2 60 Input ~ 0
FPGA_DONE
Text GLabel 1050 2800 0 60 Input ~ 0
Text GLabel 4550 2100 0 60 Input ~ 0
JX1_SE_0
Text GLabel 1050 2950 0 60 Input ~ 0
Text GLabel 6150 2100 2 60 Input ~ 0
JX1_SE_1
Text GLabel 2750 3250 0 60 Input ~ 0
Text GLabel 6150 4500 2 60 Input ~ 0
JX1_LVDS_11_P
Text GLabel 2750 3550 0 60 Input ~ 0
Text GLabel 6150 4650 2 60 Input ~ 0
JX1_LVDS_11_N
Text GLabel 2750 4000 0 60 Input ~ 0
Text GLabel 4550 4950 0 60 Input ~ 0
JX1_LVDS_12_P
Text GLabel 2750 4300 0 60 Input ~ 0
Text GLabel 4550 5100 0 60 Input ~ 0
JX1_LVDS_12_N
Text GLabel 2750 5350 0 60 Input ~ 0
Text GLabel 6150 5550 2 60 Input ~ 0
JX1_LVDS_15_N
Text GLabel 3950 2050 0 60 Input ~ 0
Text GLabel 6150 6150 2 60 Input ~ 0
JX1_LVDS_17_N
Text GLabel 3950 2500 0 60 Input ~ 0
Text GLabel 4550 6450 0 60 Input ~ 0
JX1_LVDS_18_P
Text GLabel 3950 2650 0 60 Input ~ 0
Text GLabel 6150 6450 2 60 Input ~ 0
JX1_LVDS_19_P
Text GLabel 3950 2800 0 60 Input ~ 0
Text GLabel 4550 6600 0 60 Input ~ 0
JX1_LVDS_18_N
Text GLabel 3950 2950 0 60 Input ~ 0
Text GLabel 6150 6600 2 60 Input ~ 0
JX1_LVDS_19_N
Text GLabel 3950 3400 0 60 Input ~ 0
Text GLabel 4550 6900 0 60 Input ~ 0
JX1_LVDS_20_P
Text GLabel 3950 3550 0 60 Input ~ 0
Text GLabel 6150 6900 2 60 Input ~ 0
JX1_LVDS_21_P
Text GLabel 3950 3700 0 60 Input ~ 0
Text GLabel 4550 7050 0 60 Input ~ 0
JX1_LVDS_20_N
Text GLabel 3950 3850 0 60 Input ~ 0
Text GLabel 6150 7050 2 60 Input ~ 0
JX1_LVDS_21_N
Text GLabel 3950 4600 0 60 Input ~ 0
Text GLabel 4550 7500 0 60 Input ~ 0
JX1_LVDS_22_P
Text GLabel 3950 4750 0 60 Input ~ 0
Text GLabel 6150 7500 2 60 Input ~ 0
JX1_LVDS_23_P
Text GLabel 3950 4900 0 60 Input ~ 0
Text GLabel 4550 7650 0 60 Input ~ 0
JX1_LVDS_22_N
Text GLabel 3950 5050 0 60 Input ~ 0
JX1_LVDS_23_N
Text GLabel 3950 5500 0 60 Input ~ 0
Text GLabel 4550 7950 0 60 Input ~ 0
B13_LVDS_0_P
Text GLabel 3950 5650 0 60 Input ~ 0
Text GLabel 6150 7950 2 60 Input ~ 0
B13_LVDS_1_P
Text GLabel 3950 5800 0 60 Input ~ 0
Text GLabel 4550 8100 0 60 Input ~ 0
B13_LVDS_0_N
Text GLabel 3950 5950 0 60 Input ~ 0
Text GLabel 6150 8100 2 60 Input ~ 0
B13_LVDS_1_N
Text GLabel 5150 1600 0 60 Input ~ 0
Text GLabel 4550 8250 0 60 Input ~ 0
B13_LVDS_2_P
Text GLabel 5150 1750 0 60 Input ~ 0
Text GLabel 6150 8250 2 60 Input ~ 0
B13_LVDS_3_P
Text GLabel 5150 1900 0 60 Input ~ 0
Text GLabel 4550 8400 0 60 Input ~ 0
B13_LVDS_2_N
Text GLabel 5150 2050 0 60 Input ~ 0
Text GLabel 6150 8400 2 60 Input ~ 0
B13_LVDS_3_N
Text GLabel 4850 2500 0 60 Input ~ 0
Text GLabel 4550 8700 0 60 Input ~ 0
VP_0_P
Text GLabel 4900 2650 0 60 Input ~ 0
Text GLabel 6150 8700 2 60 Input ~ 0
DXP_0_P
Text GLabel 4850 2800 0 60 Input ~ 0
Text GLabel 4550 8850 0 60 Input ~ 0
VN_0_N
Text GLabel 4900 2950 0 60 Input ~ 0
Text GLabel 6150 8850 2 60 Input ~ 0
DXN_0_N
Text GLabel 6400 2800 0 60 Input ~ 0
Text GLabel 10250 2050 0 60 Input ~ 0
INIT#
Text GLabel 6700 3100 0 60 Input ~ 0
Text GLabel 10250 2200 0 60 Input ~ 0
PG_MODULE
Text Notes 6750 2400 1 60 ~ 0
Text Notes 9850 1950 1 60 ~ 0
PMOD CONN
Text GLabel 6600 3400 0 60 Input ~ 0
Text GLabel 10250 2350 0 60 Input ~ 0
JX2_SE_0
Text GLabel 6600 3550 0 60 Input ~ 0
Text GLabel 11850 2350 2 60 Input ~ 0
JX2_SE_1
Text GLabel 6800 4000 0 60 Input ~ 0
Text GLabel 10250 2650 0 60 Input ~ 0
JX2_LVDS_0_P
Text GLabel 6800 4150 0 60 Input ~ 0
JX2_LVDS_1_N
Text GLabel 6800 4300 0 60 Input ~ 0
Text GLabel 11850 2650 2 60 Input ~ 0
JX2_LVDS_1_P
Text GLabel 10250 2800 0 60 Input ~ 0
JX2_LVDS_0_N
Text GLabel 6800 4450 0 60 Input ~ 0
Text GLabel 11850 2800 2 60 Input ~ 0
JX2_LVDS_1_N
Text GLabel 6800 4900 0 60 Input ~ 0
Text GLabel 10250 3100 0 60 Input ~ 0
JX2_LVDS_2_P
Text GLabel 6800 5050 0 60 Input ~ 0
Text GLabel 11850 3100 2 60 Input ~ 0
JX2_LVDS_3_P
Text GLabel 6800 5200 0 60 Input ~ 0
Text GLabel 10250 3250 0 60 Input ~ 0
JX2_LVDS_2_N
Text GLabel 6800 5350 0 60 Input ~ 0
Text GLabel 11850 3250 2 60 Input ~ 0
JX2_LVDS_3_N
Text GLabel 6800 5800 0 60 Input ~ 0
Text GLabel 10250 3550 0 60 Input ~ 0
JX2_LVDS_4_P
Text GLabel 6800 5950 0 60 Input ~ 0
JX2_LVDS__P
Text GLabel 8100 1600 0 60 Input ~ 0
Text GLabel 11850 3550 2 60 Input ~ 0
JX2_LVDS_5_P
Text GLabel 10250 3700 0 60 Input ~ 0
JX2_LVDS_4_N
Text GLabel 8100 1750 0 60 Input ~ 0
Text GLabel 11850 3700 2 60 Input ~ 0
JX2_LVDS_5_N
Text GLabel 8100 2200 0 60 Input ~ 0
Text GLabel 10250 4000 0 60 Input ~ 0
JX2_LVDS_6_P
Text GLabel 8100 2350 0 60 Input ~ 0
Text GLabel 11850 4000 2 60 Input ~ 0
JX2_LVDS_7_P
Text GLabel 8100 2500 0 60 Input ~ 0
Text GLabel 10250 4150 0 60 Input ~ 0
JX2_LVDS_6_N
Text GLabel 8100 2650 0 60 Input ~ 0
Text GLabel 11850 4150 2 60 Input ~ 0
JX2_LVDS_7_N
Text GLabel 8100 3100 0 60 Input ~ 0
Text GLabel 10250 4450 0 60 Input ~ 0
JX2_LVDS_8_P
Text GLabel 8100 3250 0 60 Input ~ 0
Text GLabel 11850 4450 2 60 Input ~ 0
JX2_LVDS_9_P
Text GLabel 8100 3400 0 60 Input ~ 0
Text GLabel 10250 4600 0 60 Input ~ 0
JX2_LVDS_8_N
Text GLabel 8100 3550 0 60 Input ~ 0
Text GLabel 11850 4600 2 60 Input ~ 0
JX2_LVDS_9_N
Text GLabel 8150 4000 0 60 Input ~ 0
Text GLabel 10250 4900 0 60 Input ~ 0
JX2_LVDS_10_P
Text GLabel 8150 4150 0 60 Input ~ 0
Text GLabel 11850 4900 2 60 Input ~ 0
JX2_LVDS_11_P
Text GLabel 8150 4300 0 60 Input ~ 0
Text GLabel 10250 5050 0 60 Input ~ 0
JX2_LVDS_10_N
Text GLabel 8150 4450 0 60 Input ~ 0
Text GLabel 11850 5050 2 60 Input ~ 0
JX2_LVDS_11_N
Text GLabel 8150 4900 0 60 Input ~ 0
JX2_LVDS_12_P
Text GLabel 8150 5050 0 60 Input ~ 0
Text GLabel 11850 5350 2 60 Input ~ 0
JX2_LVDS_13_P
Text GLabel 8150 5200 0 60 Input ~ 0
Text GLabel 10250 5500 0 60 Input ~ 0
JX2_LVDS_12_N
Text GLabel 8150 5350 0 60 Input ~ 0
Text GLabel 11850 5500 2 60 Input ~ 0
JX2_LVDS_13_N
Text GLabel 9350 1600 0 60 Input ~ 0
Text GLabel 10250 5950 0 60 Input ~ 0
JX2_LVDS_14_P
Text GLabel 9350 1750 0 60 Input ~ 0
Text GLabel 11850 5950 2 60 Input ~ 0
JX2_LVDS_15_P
Text GLabel 9350 1900 0 60 Input ~ 0
Text GLabel 10250 6100 0 60 Input ~ 0
JX2_LVDS_14_N
Text GLabel 9350 2050 0 60 Input ~ 0
Text GLabel 11850 6100 2 60 Input ~ 0
JX2_LVDS_15_N
Text GLabel 9350 2500 0 60 Input ~ 0
Text GLabel 10250 6400 0 60 Input ~ 0
JX2_LVDS_16_P
Text GLabel 9350 2650 0 60 Input ~ 0
Text GLabel 11850 6400 2 60 Input ~ 0
JX2_LVDS_17_P
Text GLabel 9350 2800 0 60 Input ~ 0
Text GLabel 10250 6550 0 60 Input ~ 0
JX2_LVDS_16_N
Text GLabel 9350 2950 0 60 Input ~ 0
Text GLabel 11850 6550 2 60 Input ~ 0
JX2_LVDS_17_N
Text GLabel 9350 3400 0 60 Input ~ 0
Text GLabel 10250 6850 0 60 Input ~ 0
JX2_LVDS_18_P
Text GLabel 9350 3550 0 60 Input ~ 0
Text GLabel 11850 6850 2 60 Input ~ 0
JX2_LVDS_19_P
Text GLabel 9350 3700 0 60 Input ~ 0
Text GLabel 10250 7000 0 60 Input ~ 0
JX2_LVDS_18_N
Text GLabel 9350 3850 0 60 Input ~ 0
Text GLabel 11850 7000 2 60 Input ~ 0
JX2_LVDS_19_N
Wire Wire Line
1350 3700 1550 3700
Wire Wire Line
1550 3850 1350 3850
Wire Wire Line
1350 4600 1550 4600
Wire Wire Line
1550 4750 1350 4750
Wire Wire Line
1350 5500 1550 5500
Wire Wire Line
1550 5650 1350 5650
Wire Wire Line
2350 4750 2750 4750
Wire Wire Line
2350 4600 2750 4600
Wire Wire Line
2350 3850 2750 3850
Wire Wire Line
2350 3700 2750 3700
Wire Wire Line
2350 2950 2750 2950
Wire Wire Line
2350 2800 2750 2800
Wire Wire Line
2350 2050 2750 2050
Wire Wire Line
2350 1900 2750 1900
Wire Wire Line
3500 2200 3950 2200
Wire Wire Line
3500 2350 3950 2350
Wire Wire Line
3500 3100 3950 3100
Wire Wire Line
3500 3250 3950 3250
Wire Wire Line
3500 4000 3950 4000
Wire Wire Line
3500 5200 3950 5200
Wire Wire Line
3500 5350 3950 5350
Wire Wire Line
6750 3700 6950 3700
Wire Wire Line
6950 3850 6750 3850
Wire Wire Line
6750 4600 6950 4600
Wire Wire Line
6950 4750 6750 4750
Wire Wire Line
6750 5500 6950 5500
Wire Wire Line
6950 5650 6750 5650
Wire Wire Line
7750 4750 8150 4750
Wire Wire Line
7750 4600 8150 4600
Wire Wire Line
7750 3850 8150 3850
Wire Wire Line
7750 3700 8150 3700
Wire Wire Line
7750 2950 8150 2950
Wire Wire Line
7750 2800 8150 2800
Wire Wire Line
7750 2050 8150 2050
Wire Wire Line
7750 1900 8150 1900
Wire Wire Line
8900 2200 9350 2200
Wire Wire Line
8900 2350 9350 2350
Wire Wire Line
8900 3100 9350 3100
Wire Wire Line
8900 3250 9350 3250
Wire Wire Line
8900 4000 9350 4000
Wire Wire Line
8900 5200 9350 5200
Wire Wire Line
8900 5350 9350 5350
Wire Wire Line
1250 3550 1550 3550
Wire Wire Line
1250 3400 1550 3400
Wire Wire Line
1250 3250 1550 3250
Wire Wire Line
1550 3100 1250 3100
Wire Wire Line
1250 4000 1550 4000
Wire Wire Line
1550 4150 1250 4150
Wire Wire Line
1250 4300 1550 4300
Wire Wire Line
1550 4450 1250 4450
Wire Wire Line
1250 4900 1550 4900
Wire Wire Line
1550 5050 1250 5050
Wire Wire Line
1250 5200 1550 5200
Wire Wire Line
1550 5350 1250 5350
Wire Wire Line
1250 5800 1550 5800
Wire Wire Line
1550 5950 1250 5950
Wire Wire Line
4750 2200 5200 2200
Wire Wire Line
5200 2350 4750 2350
Wire Wire Line
2400 4900 2750 4900
Wire Wire Line
2750 5050 2400 5050
Wire Wire Line
2400 5200 2750 5200
Wire Wire Line
3650 1900 3950 1900
Wire Notes Line
6000 7150 3200 7150
Wire Wire Line
6600 3250 6950 3250
Wire Wire Line
6650 2950 6950 2950
Wire Wire Line
1200 2200 1550 2200
Wire Wire Line
3650 1600 3950 1600
Wire Wire Line
3650 1750 3950 1750
Wire Wire Line
1050 1600 1550 1600
Wire Wire Line
1550 1750 1050 1750
Wire Wire Line
1050 1900 1550 1900
Wire Wire Line
1550 2050 1050 2050
Wire Wire Line
1150 2500 1550 2500
Wire Wire Line
1350 2350 1550 2350
Wire Wire Line
1150 2650 1550 2650
Wire Wire Line
1550 2800 1050 2800
Wire Wire Line
1050 2950 1550 2950
Wire Wire Line
5150 1600 5200 1600
Wire Wire Line
5150 1750 5200 1750
Wire Wire Line
5200 1900 5150 1900
Wire Wire Line
5150 2050 5200 2050
Wire Wire Line
4900 2950 5200 2950
Wire Wire Line
4850 2800 5200 2800
Wire Wire Line
4900 2650 5200 2650
Wire Wire Line
4850 2500 5200 2500
Wire Wire Line
6400 2800 6950 2800
Wire Wire Line
6700 3100 6950 3100
Wire Notes Line
7100 2700 6850 2700
14650 9750 11850 9750
Wire Notes Line
6850 2700 6850 1550
10150 1950 9900 1950
Wire Notes Line
6850 1550 7050 1550
Wire Wire Line
6600 3400 6950 3400
Wire Wire Line
6950 3550 6600 3550
Wire Wire Line
6800 4000 6950 4000
Wire Wire Line
6950 4150 6800 4150
Wire Wire Line
6800 4300 6950 4300
Wire Wire Line
6950 4450 6800 4450
Wire Wire Line
6800 4900 6950 4900
Wire Wire Line
6950 5050 6800 5050
Wire Wire Line
6800 5200 6950 5200
Wire Wire Line
6950 5350 6800 5350
Wire Wire Line
6950 5800 6800 5800
Wire Wire Line
6800 5950 6950 5950
Wire Wire Line
8100 1750 8150 1750
Wire Wire Line
8150 1600 8100 1600
Wire Wire Line
8100 2200 8150 2200
Wire Wire Line
8150 2350 8100 2350
Wire Wire Line
8100 2500 8150 2500
Wire Wire Line
8150 2650 8100 2650
Wire Wire Line
8100 3100 8150 3100
Wire Wire Line
8150 3250 8100 3250
Wire Wire Line
8100 3400 8150 3400
Wire Wire Line
8150 3550 8100 3550
Text GLabel 9350 4600 0 60 Input ~ 0
9900 1400 10150 1400
Text GLabel 10250 7450 0 60 Input ~ 0
JX2_LVDS_20_P
Text GLabel 9350 4750 0 60 Input ~ 0
Text GLabel 11850 7450 2 60 Input ~ 0
JX2_LVDS_21_P
Text GLabel 9350 4900 0 60 Input ~ 0
Text GLabel 10250 7600 0 60 Input ~ 0
JX2_LVDS_20_N
Text GLabel 9350 5050 0 60 Input ~ 0
Text GLabel 11850 7600 2 60 Input ~ 0
JX2_LVDS_21_N
Text GLabel 9350 5500 0 60 Input ~ 0
Text GLabel 10250 7900 0 60 Input ~ 0
JX2_LVDS_22_P
Text GLabel 9350 5650 0 60 Input ~ 0
Text GLabel 11850 7900 2 60 Input ~ 0
JX2_LVDS_23_P
Text GLabel 9350 5800 0 60 Input ~ 0
Text GLabel 10250 8050 0 60 Input ~ 0
JX2_LVDS_22_N
Text GLabel 9350 5950 0 60 Input ~ 0
Text GLabel 11850 8050 2 60 Input ~ 0
JX2_LVDS_23_N
$Comp
L GND #PWR053
U 1 1 56E8735A
P 10150 1600
F 0 "#PWR053" H 10150 1350 50 0001 C CNN
F 1 "GND" H 10150 1450 50 0000 C CNN
F 2 "" H 10150 1600 50 0000 C CNN
F 3 "" H 10150 1600 50 0000 C CNN
1 10150 1600
P 10250 8200
F 0 "#PWR053" H 10250 7950 50 0001 C CNN
F 1 "GND" H 10250 8050 50 0000 C CNN
F 2 "" H 10250 8200 50 0000 C CNN
F 3 "" H 10250 8200 50 0000 C CNN
1 10250 8200
0 1 1 0
$EndComp
$Comp
L GND #PWR054
U 1 1 56E87427
P 10150 1750
F 0 "#PWR054" H 10150 1500 50 0001 C CNN
F 1 "GND" H 10150 1600 50 0000 C CNN
F 2 "" H 10150 1750 50 0000 C CNN
F 3 "" H 10150 1750 50 0000 C CNN
1 10150 1750
0 1 1 0
$EndComp
Wire Wire Line
10150 1600 10600 1600
Wire Wire Line
10150 1750 10600 1750
Text GLabel 10600 1900 0 60 Input ~ 0
P 11850 8200
F 0 "#PWR054" H 11850 7950 50 0001 C CNN
F 1 "GND" H 11850 8050 50 0000 C CNN
F 2 "" H 11850 8200 50 0000 C CNN
F 3 "" H 11850 8200 50 0000 C CNN
1 11850 8200
0 -1 -1 0
$EndComp
Text GLabel 10250 8350 0 60 Input ~ 0
B13_LVDS_4_P
Text GLabel 10600 2050 0 60 Input ~ 0
Text GLabel 11850 8350 2 60 Input ~ 0
B13_LVDS_5_P
Text GLabel 10600 2200 0 60 Input ~ 0
Text GLabel 10250 8500 0 60 Input ~ 0
B13_LVDS_4_N
Text GLabel 10600 2350 0 60 Input ~ 0
Text GLabel 11850 8500 2 60 Input ~ 0
B13_LVDS_5_N
Text GLabel 10600 2500 0 60 Input ~ 0
Text GLabel 10250 8650 0 60 Input ~ 0
B13_LVDS_6_P
Text GLabel 10350 2650 0 60 Input Italic 0
Text GLabel 11850 8650 2 60 Input Italic 0
VCCO_13
Text GLabel 10600 2800 0 60 Input ~ 0
Text GLabel 10250 8800 0 60 Input ~ 0
B13_LVDS_6_N
Text GLabel 10400 2950 0 60 Input ~ 0
Text GLabel 11850 8800 2 60 Input ~ 0
B13_SE_0
Wire Wire Line
10350 2650 10600 2650
Wire Wire Line
10600 2950 10400 2950
Wire Notes Line
6000 7150 6000 6800
Wire Notes Line
6000 6800 3200 6800
14650 9750 14650 9400
Wire Notes Line
3200 6800 3200 7150
14650 9400 11850 9400
Wire Notes Line
500 6400 11150 6400
Wire Notes Line
500 1150 11000 1150
Text GLabel 2500 5500 0 60 Input Italic 0
11850 9400 11850 9750
Text GLabel 4550 5700 0 60 Input Italic 0
VIN_57_1
Text GLabel 2500 5650 0 60 Input Italic 0
Text GLabel 6150 5700 2 60 Input Italic 0
VIN_58_1
Text GLabel 2500 5800 0 60 Input Italic 0
Text GLabel 4550 5850 0 60 Input Italic 0
VIN_59_1
Text GLabel 2500 5950 0 60 Input Italic 0
Text GLabel 6150 5850 2 60 Input Italic 0
VIN_60_1
Wire Wire Line
2500 5950 2750 5950
Wire Wire Line
2750 5800 2500 5800
Wire Wire Line
2500 5650 2750 5650
Wire Wire Line
2750 5500 2500 5500
Text GLabel 3850 4150 0 60 Input Italic 0
Text GLabel 6150 7200 2 60 Input Italic 0
VCCO_34_78
Text GLabel 3850 4300 0 60 Input Italic 0
Text GLabel 4550 7350 0 60 Input Italic 0
VCCO_34_79
Text GLabel 3850 4450 0 60 Input Italic 0
Text GLabel 6150 7350 2 60 Input Italic 0
VCCO_34_80
Wire Wire Line
3850 4150 3950 4150
Wire Wire Line
3950 4300 3850 4300
Wire Wire Line
3850 4450 3950 4450
Text GLabel 7900 5500 0 60 Input Italic 0
Text GLabel 10250 5650 0 60 Input Italic 0
VIN_57_2
Text GLabel 7900 5650 0 60 Input Italic 0
Text GLabel 11850 5650 2 60 Input Italic 0
VIN_58_2
Text GLabel 7900 5800 0 60 Input Italic 0
Text GLabel 10250 5800 0 60 Input Italic 0
VIN_59_2
Text GLabel 7900 5950 0 60 Input Italic 0
Text GLabel 11850 5800 2 60 Input Italic 0
VIN_60_2
Wire Wire Line
7900 5500 8150 5500
Wire Wire Line
8150 5650 7900 5650
Wire Wire Line
7900 5800 8150 5800
Wire Wire Line
8150 5950 7900 5950
Text GLabel 9250 4150 0 60 Input Italic 0
Text GLabel 11850 7150 2 60 Input Italic 0
VCCO_35_78
Text GLabel 9250 4300 0 60 Input Italic 0
Text GLabel 10250 7300 0 60 Input Italic 0
VCCO_35_79
Text GLabel 9250 4450 0 60 Input Italic 0
Text GLabel 11850 7300 2 60 Input Italic 0
VCCO_35_80
Wire Notes Line
8650 2250 9150 2250
$Comp
L FCIConn Q1
U 1 1 56E7FC95
P 5350 5100
F 0 "Q1" H 5350 1200 50 0000 L CNN
F 1 "FCIConn" H 5200 1100 50 0000 L CNN
F 2 ".pretty:FCI" H 7335 1440 50 0001 L CIN
F 3 "" H 6050 7600 50 0000 L CNN
1 5350 5100
1 0 0 -1
$EndComp
Text GLabel 10250 5350 0 60 Input ~ 0
JX2_LVDS_12_P
$Comp
L MYCON100 Q2
U 1 1 56C4E56F
P 8850 3450
F 0 "Q2" H 10000 1250 50 0000 L CNN
F 1 "JX2" H 10000 1150 50 0000 L CNN
F 2 ".pretty:FCI" H 8350 700 50 0001 L CIN
F 3 "" H 7200 3250 50 0000 L CNN
1 8850 3450
L FCIConn Q2
U 1 1 56E80637
P 11050 5050
F 0 "Q2" H 11050 1150 50 0000 L CNN
F 1 "FCIConn" H 10900 1050 50 0000 L CNN
F 2 ".pretty:FCI" H 13035 1390 50 0001 L CIN
F 3 "" H 11750 7550 50 0000 L CNN
1 11050 5050
1 0 0 -1
$EndComp
Wire Wire Line
9250 4150 9350 4150
Wire Wire Line
9350 4300 9250 4300
Wire Wire Line
9250 4450 9350 4450
Wire Notes Line
11150 6400 11150 1150
9900 1950 9900 1400
Wire Notes Line
11150 1150 10950 1150
11900 1400 12150 1400
Wire Notes Line
500 6400 500 1150
$Comp
L MYCON100 Q1
U 1 1 56C4AC9D
P 3450 3450
F 0 "Q1" H 4550 1200 50 0000 L CNN
F 1 "JX1" H 4550 1100 50 0000 L CNN
F 2 ".pretty:FCI" H 2950 700 50 0001 L CIN
F 3 "" H 1800 3250 50 0000 L CNN
1 3450 3450
1 0 0 -1
$EndComp
12150 1400 12150 1950
Wire Notes Line
5300 3200 5800 3200
12150 1950 11900 1950
Text GLabel 6150 7650 2 60 Input ~ 0
JX1_LVDS_23_N
$EndSCHEMATC
......@@ -336,8 +336,8 @@ X GND 3 0 -500 100 U 40 40 1 1 B
X OUT 4 500 -50 200 L 40 40 1 1 P
X SET 5 -500 -300 200 R 40 40 1 1 B
X CC 6 500 -300 200 L 40 40 1 1 B
X /FAULT ~ 500 -150 200 L 40 40 1 1 B
X /SHDN ~ -500 -150 200 R 40 40 1 1 B
X /SHDN 7 -500 -150 200 R 40 40 1 1 B
X /FAULT 8 500 -150 200 L 40 40 1 1 B
ENDDRAW
ENDDEF
#
......@@ -413,6 +413,121 @@ X SD1 12 650 -450 200 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# MYCON100
#
DEF MYCON100 Q 0 0 Y Y 1 F N
F0 "Q" 0 -3900 50 H V L CNN
F1 "MYCON100" -150 -4000 50 H V L CNN
F2 "" 1985 -3660 50 H V L CIN
F3 "" 700 2500 50 H V L CNN
$FPLIST
TO-92*
$ENDFPLIST
DRAW
S -650 3700 650 -3850 0 1 0 N
X 1 1 -800 3600 150 R 50 50 1 1 B
X 2 2 800 3600 150 L 50 50 1 1 B
X 3 3 -800 3450 150 R 50 50 1 1 B
X 4 4 800 3450 150 L 50 50 1 1 B
X 5 5 -800 3300 150 R 50 50 1 1 B
X 6 6 800 3300 150 L 50 50 1 1 B
X 7 7 -800 3150 150 R 50 50 1 1 B
X 8 8 800 3150 150 L 50 50 1 1 B
X 9 9 -800 3000 150 R 50 50 1 1 B
X 10 10 800 3000 150 L 50 50 1 1 B
X 20 20 800 2250 150 L 50 50 1 1 B
X 30 30 800 1500 150 L 50 50 1 1 B
X 40 40 800 750 150 L 50 50 1 1 B
X 50 50 800 0 150 L 50 50 1 1 B
X 60 60 800 -750 150 L 50 50 1 1 B
X 70 70 800 -1500 150 L 50 50 1 1 B
X 80 80 800 -2250 150 L 50 50 1 1 B
X 90 90 800 -3000 150 L 50 50 1 1 B
X 11 11 -800 2850 150 R 50 50 1 1 B
X 21 21 -800 2100 150 R 50 50 1 1 B
X 31 31 -800 1350 150 R 50 50 1 1 B
X 41 41 -800 600 150 R 50 50 1 1 B
X 51 51 -800 -150 150 R 50 50 1 1 B
X 61 61 -800 -900 150 R 50 50 1 1 B
X 71 71 -800 -1650 150 R 50 50 1 1 B
X 81 81 -800 -2400 150 R 50 50 1 1 B
X 91 91 -800 -3150 150 R 50 50 1 1 B
X 12 12 800 2850 150 L 50 50 1 1 B
X 22 22 800 2100 150 L 50 50 1 1 B
X 32 32 800 1350 150 L 50 50 1 1 B
X 42 42 800 600 150 L 50 50 1 1 B
X 52 52 800 -150 150 L 50 50 1 1 B
X 62 62 800 -900 150 L 50 50 1 1 B
X 72 72 800 -1650 150 L 50 50 1 1 B
X 82 82 800 -2400 150 L 50 50 1 1 B
X 92 92 800 -3150 150 L 50 50 1 1 B
X 13 13 -800 2700 150 R 50 50 1 1 B
X 23 23 -800 1950 150 R 50 50 1 1 B
X 33 33 -800 1200 150 R 50 50 1 1 B
X 43 43 -800 450 150 R 50 50 1 1 B
X 53 53 -800 -300 150 R 50 50 1 1 B
X 63 63 -800 -1050 150 R 50 50 1 1 B
X 73 73 -800 -1800 150 R 50 50 1 1 B
X 83 83 -800 -2550 150 R 50 50 1 1 B
X 93 93 -800 -3300 150 R 50 50 1 1 B
X 14 14 800 2700 150 L 50 50 1 1 B
X 24 24 800 1950 150 L 50 50 1 1 B
X 34 34 800 1200 150 L 50 50 1 1 B
X 44 44 800 450 150 L 50 50 1 1 B
X 54 54 800 -300 150 L 50 50 1 1 B
X 64 64 800 -1050 150 L 50 50 1 1 B
X 74 74 800 -1800 150 L 50 50 1 1 B
X 84 84 800 -2550 150 L 50 50 1 1 B
X 94 94 800 -3300 150 L 50 50 1 1 B
X 15 15 -800 2550 150 R 50 50 1 1 B
X 25 25 -800 1800 150 R 50 50 1 1 B
X 35 35 -800 1050 150 R 50 50 1 1 B
X 45 45 -800 300 150 R 50 50 1 1 B
X 55 55 -800 -450 150 R 50 50 1 1 B
X 65 65 -800 -1200 150 R 50 50 1 1 B
X 75 75 -800 -1950 150 R 50 50 1 1 B
X 85 85 -800 -2700 150 R 50 50 1 1 B
X 95 95 -800 -3450 150 R 50 50 1 1 B
X 16 16 800 2550 150 L 50 50 1 1 B
X 26 26 800 1800 150 L 50 50 1 1 B
X 36 36 800 1050 150 L 50 50 1 1 B
X 46 46 800 300 150 L 50 50 1 1 B
X 56 56 800 -450 150 L 50 50 1 1 B
X 66 66 800 -1200 150 L 50 50 1 1 B
X 76 76 800 -1950 150 L 50 50 1 1 B
X 86 86 800 -2700 150 L 50 50 1 1 B
X 96 96 800 -3450 150 L 50 50 1 1 B
X 17 17 -800 2400 150 R 50 50 1 1 B
X 27 27 -800 1650 150 R 50 50 1 1 B
X 37 37 -800 900 150 R 50 50 1 1 B
X 47 47 -800 150 150 R 50 50 1 1 B
X 57 57 -800 -600 150 R 50 50 1 1 B
X 67 67 -800 -1350 150 R 50 50 1 1 B
X 77 77 -800 -2100 150 R 50 50 1 1 B
X 87 87 -800 -2850 150 R 50 50 1 1 B
X 97 97 -800 -3600 150 R 50 50 1 1 B
X 18 18 800 2400 150 L 50 50 1 1 B
X 28 28 800 1650 150 L 50 50 1 1 B
X 38 38 800 900 150 L 50 50 1 1 B
X 48 48 800 150 150 L 50 50 1 1 B
X 58 58 800 -600 150 L 50 50 1 1 B
X 68 68 800 -1350 150 L 50 50 1 1 B
X 78 78 800 -2100 150 L 50 50 1 1 B
X 88 88 800 -2850 150 L 50 50 1 1 B
X 98 98 800 -3600 150 L 50 50 1 1 B
X 19 19 -800 2250 150 R 50 50 1 1 B
X 29 29 -800 1500 150 R 50 50 1 1 B
X 39 39 -800 750 150 R 50 50 1 1 B
X 49 49 -800 0 150 R 50 50 1 1 B
X 59 59 -800 -750 150 R 50 50 1 1 B
X 69 69 -800 -1500 150 R 50 50 1 1 B
X 79 79 -800 -2250 150 R 50 50 1 1 B
X 89 89 -800 -3000 150 R 50 50 1 1 B
X 99 99 -800 -3750 150 R 50 50 1 1 B
X 100 100 800 -3750 150 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# NCP5501DT33G
#
DEF NCP5501DT33G U 0 30 N Y 1 F N
......
......@@ -69,6 +69,12 @@ K LDO
F regulator\lm78xx.pdf
$ENDCMP
#
$CMP MYCON100
D 100Conn
K N-Channel MOSFET
F http://www.vishay.com/docs/70215/70215.pdf
$ENDCMP
#
$CMP NCP5501DT33G
D 500mA LDO Voltage Regulator
K LDO
......
......@@ -37,6 +37,12 @@ K N-Channel MOSFET
F http://www.vishay.com/docs/70215/70215.pdf
$ENDCMP
#
$CMP FCIConn
D 100Conn
K N-Channel MOSFET
F http://www.vishay.com/docs/70215/70215.pdf
$ENDCMP
#
$CMP LM27403
D 30A Ultra-Compact DC/DC Regulator
K Voltage Regulator 1A Positive
......@@ -69,6 +75,12 @@ K LDO
F regulator\lm78xx.pdf
$ENDCMP
#
$CMP MYCON100
D 100Conn
K N-Channel MOSFET
F http://www.vishay.com/docs/70215/70215.pdf
$ENDCMP
#
$CMP NCP5501DT33G
D 500mA LDO Voltage Regulator
K LDO
......
......@@ -190,6 +190,121 @@ X S 3 100 -200 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# FCIConn
#
DEF FCIConn Q 0 0 Y Y 1 F N
F0 "Q" 0 -3900 50 H V L CNN
F1 "FCIConn" -150 -4000 50 H V L CNN
F2 "" 1985 -3660 50 H V L CIN
F3 "" 700 2500 50 H V L CNN
$FPLIST
TO-92*
$ENDFPLIST
DRAW
S -650 3700 650 -3850 0 1 0 N
X 1 1 -800 3600 150 R 50 50 1 1 B
X 2 2 800 3600 150 L 50 50 1 1 B
X 3 3 -800 3450 150 R 50 50 1 1 B
X 4 4 800 3450 150 L 50 50 1 1 B
X 5 5 -800 3300 150 R 50 50 1 1 B
X 6 6 800 3300 150 L 50 50 1 1 B
X 7 7 -800 3150 150 R 50 50 1 1 B
X 8 8 800 3150 150 L 50 50 1 1 B
X 9 9 -800 3000 150 R 50 50 1 1 B
X 10 10 800 3000 150 L 50 50 1 1 B
X 20 20 800 2250 150 L 50 50 1 1 B
X 30 30 800 1500 150 L 50 50 1 1 B
X 40 40 800 750 150 L 50 50 1 1 B
X 50 50 800 0 150 L 50 50 1 1 B
X 60 60 800 -750 150 L 50 50 1 1 B
X 70 70 800 -1500 150 L 50 50 1 1 B
X 80 80 800 -2250 150 L 50 50 1 1 B
X 90 90 800 -3000 150 L 50 50 1 1 B
X 11 11 -800 2850 150 R 50 50 1 1 B
X 21 21 -800 2100 150 R 50 50 1 1 B
X 31 31 -800 1350 150 R 50 50 1 1 B
X 41 41 -800 600 150 R 50 50 1 1 B
X 51 51 -800 -150 150 R 50 50 1 1 B
X 61 61 -800 -900 150 R 50 50 1 1 B
X 71 71 -800 -1650 150 R 50 50 1 1 B
X 81 81 -800 -2400 150 R 50 50 1 1 B
X 91 91 -800 -3150 150 R 50 50 1 1 B
X 12 12 800 2850 150 L 50 50 1 1 B
X 22 22 800 2100 150 L 50 50 1 1 B
X 32 32 800 1350 150 L 50 50 1 1 B
X 42 42 800 600 150 L 50 50 1 1 B
X 52 52 800 -150 150 L 50 50 1 1 B
X 62 62 800 -900 150 L 50 50 1 1 B
X 72 72 800 -1650 150 L 50 50 1 1 B
X 82 82 800 -2400 150 L 50 50 1 1 B
X 92 92 800 -3150 150 L 50 50 1 1 B
X 13 13 -800 2700 150 R 50 50 1 1 B
X 23 23 -800 1950 150 R 50 50 1 1 B
X 33 33 -800 1200 150 R 50 50 1 1 B
X 43 43 -800 450 150 R 50 50 1 1 B
X 53 53 -800 -300 150 R 50 50 1 1 B
X 63 63 -800 -1050 150 R 50 50 1 1 B
X 73 73 -800 -1800 150 R 50 50 1 1 B
X 83 83 -800 -2550 150 R 50 50 1 1 B
X 93 93 -800 -3300 150 R 50 50 1 1 B
X 14 14 800 2700 150 L 50 50 1 1 B
X 24 24 800 1950 150 L 50 50 1 1 B
X 34 34 800 1200 150 L 50 50 1 1 B
X 44 44 800 450 150 L 50 50 1 1 B
X 54 54 800 -300 150 L 50 50 1 1 B
X 64 64 800 -1050 150 L 50 50 1 1 B
X 74 74 800 -1800 150 L 50 50 1 1 B
X 84 84 800 -2550 150 L 50 50 1 1 B
X 94 94 800 -3300 150 L 50 50 1 1 B
X 15 15 -800 2550 150 R 50 50 1 1 B
X 25 25 -800 1800 150 R 50 50 1 1 B
X 35 35 -800 1050 150 R 50 50 1 1 B
X 45 45 -800 300 150 R 50 50 1 1 B
X 55 55 -800 -450 150 R 50 50 1 1 B
X 65 65 -800 -1200 150 R 50 50 1 1 B
X 75 75 -800 -1950 150 R 50 50 1 1 B
X 85 85 -800 -2700 150 R 50 50 1 1 B
X 95 95 -800 -3450 150 R 50 50 1 1 B
X 16 16 800 2550 150 L 50 50 1 1 B
X 26 26 800 1800 150 L 50 50 1 1 B
X 36 36 800 1050 150 L 50 50 1 1 B
X 46 46 800 300 150 L 50 50 1 1 B
X 56 56 800 -450 150 L 50 50 1 1 B
X 66 66 800 -1200 150 L 50 50 1 1 B
X 76 76 800 -1950 150 L 50 50 1 1 B
X 86 86 800 -2700 150 L 50 50 1 1 B
X 96 96 800 -3450 150 L 50 50 1 1 B
X 17 17 -800 2400 150 R 50 50 1 1 B
X 27 27 -800 1650 150 R 50 50 1 1 B
X 37 37 -800 900 150 R 50 50 1 1 B
X 47 47 -800 150 150 R 50 50 1 1 B
X 57 57 -800 -600 150 R 50 50 1 1 B
X 67 67 -800 -1350 150 R 50 50 1 1 B
X 77 77 -800 -2100 150 R 50 50 1 1 B
X 87 87 -800 -2850 150 R 50 50 1 1 B
X 97 97 -800 -3600 150 R 50 50 1 1 B
X 18 18 800 2400 150 L 50 50 1 1 B
X 28 28 800 1650 150 L 50 50 1 1 B
X 38 38 800 900 150 L 50 50 1 1 B
X 48 48 800 150 150 L 50 50 1 1 B
X 58 58 800 -600 150 L 50 50 1 1 B
X 68 68 800 -1350 150 L 50 50 1 1 B
X 78 78 800 -2100 150 L 50 50 1 1 B
X 88 88 800 -2850 150 L 50 50 1 1 B
X 98 98 800 -3600 150 L 50 50 1 1 B
X 19 19 -800 2250 150 R 50 50 1 1 B
X 29 29 -800 1500 150 R 50 50 1 1 B
X 39 39 -800 750 150 R 50 50 1 1 B
X 49 49 -800 0 150 R 50 50 1 1 B
X 59 59 -800 -750 150 R 50 50 1 1 B
X 69 69 -800 -1500 150 R 50 50 1 1 B
X 79 79 -800 -2250 150 R 50 50 1 1 B
X 89 89 -800 -3000 150 R 50 50 1 1 B
X 99 99 -800 -3750 150 R 50 50 1 1 B
X 100 100 800 -3750 150 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# Ferrite_bead
#
DEF Ferrite_bead L 0 0 N N 1 F N
......@@ -413,6 +528,121 @@ X SD1 12 650 -450 200 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# MYCON100
#
DEF MYCON100 Q 0 0 Y Y 1 F N
F0 "Q" 0 -3900 50 H V L CNN
F1 "MYCON100" -150 -4000 50 H V L CNN
F2 "" 1985 -3660 50 H V L CIN
F3 "" 700 2500 50 H V L CNN
$FPLIST
TO-92*
$ENDFPLIST
DRAW
S -650 3700 650 -3850 0 1 0 N
X 1 1 -800 3600 150 R 50 50 1 1 B
X 2 2 800 3600 150 L 50 50 1 1 B
X 3 3 -800 3450 150 R 50 50 1 1 B
X 4 4 800 3450 150 L 50 50 1 1 B
X 5 5 -800 3300 150 R 50 50 1 1 B
X 6 6 800 3300 150 L 50 50 1 1 B
X 7 7 -800 3150 150 R 50 50 1 1 B
X 8 8 800 3150 150 L 50 50 1 1 B
X 9 9 -800 3000 150 R 50 50 1 1 B
X 10 10 800 3000 150 L 50 50 1 1 B
X 20 20 800 2250 150 L 50 50 1 1 B
X 30 30 800 1500 150 L 50 50 1 1 B
X 40 40 800 750 150 L 50 50 1 1 B
X 50 50 800 0 150 L 50 50 1 1 B
X 60 60 800 -750 150 L 50 50 1 1 B
X 70 70 800 -1500 150 L 50 50 1 1 B
X 80 80 800 -2250 150 L 50 50 1 1 B
X 90 90 800 -3000 150 L 50 50 1 1 B
X 11 11 -800 2850 150 R 50 50 1 1 B
X 21 21 -800 2100 150 R 50 50 1 1 B
X 31 31 -800 1350 150 R 50 50 1 1 B
X 41 41 -800 600 150 R 50 50 1 1 B
X 51 51 -800 -150 150 R 50 50 1 1 B
X 61 61 -800 -900 150 R 50 50 1 1 B
X 71 71 -800 -1650 150 R 50 50 1 1 B
X 81 81 -800 -2400 150 R 50 50 1 1 B
X 91 91 -800 -3150 150 R 50 50 1 1 B
X 12 12 800 2850 150 L 50 50 1 1 B
X 22 22 800 2100 150 L 50 50 1 1 B
X 32 32 800 1350 150 L 50 50 1 1 B
X 42 42 800 600 150 L 50 50 1 1 B
X 52 52 800 -150 150 L 50 50 1 1 B
X 62 62 800 -900 150 L 50 50 1 1 B
X 72 72 800 -1650 150 L 50 50 1 1 B
X 82 82 800 -2400 150 L 50 50 1 1 B
X 92 92 800 -3150 150 L 50 50 1 1 B
X 13 13 -800 2700 150 R 50 50 1 1 B
X 23 23 -800 1950 150 R 50 50 1 1 B
X 33 33 -800 1200 150 R 50 50 1 1 B
X 43 43 -800 450 150 R 50 50 1 1 B
X 53 53 -800 -300 150 R 50 50 1 1 B
X 63 63 -800 -1050 150 R 50 50 1 1 B
X 73 73 -800 -1800 150 R 50 50 1 1 B
X 83 83 -800 -2550 150 R 50 50 1 1 B
X 93 93 -800 -3300 150 R 50 50 1 1 B
X 14 14 800 2700 150 L 50 50 1 1 B
X 24 24 800 1950 150 L 50 50 1 1 B
X 34 34 800 1200 150 L 50 50 1 1 B
X 44 44 800 450 150 L 50 50 1 1 B
X 54 54 800 -300 150 L 50 50 1 1 B
X 64 64 800 -1050 150 L 50 50 1 1 B
X 74 74 800 -1800 150 L 50 50 1 1 B
X 84 84 800 -2550 150 L 50 50 1 1 B
X 94 94 800 -3300 150 L 50 50 1 1 B
X 15 15 -800 2550 150 R 50 50 1 1 B
X 25 25 -800 1800 150 R 50 50 1 1 B
X 35 35 -800 1050 150 R 50 50 1 1 B
X 45 45 -800 300 150 R 50 50 1 1 B
X 55 55 -800 -450 150 R 50 50 1 1 B
X 65 65 -800 -1200 150 R 50 50 1 1 B
X 75 75 -800 -1950 150 R 50 50 1 1 B
X 85 85 -800 -2700 150 R 50 50 1 1 B
X 95 95 -800 -3450 150 R 50 50 1 1 B
X 16 16 800 2550 150 L 50 50 1 1 B
X 26 26 800 1800 150 L 50 50 1 1 B
X 36 36 800 1050 150 L 50 50 1 1 B
X 46 46 800 300 150 L 50 50 1 1 B
X 56 56 800 -450 150 L 50 50 1 1 B
X 66 66 800 -1200 150 L 50 50 1 1 B
X 76 76 800 -1950 150 L 50 50 1 1 B
X 86 86 800 -2700 150 L 50 50 1 1 B
X 96 96 800 -3450 150 L 50 50 1 1 B
X 17 17 -800 2400 150 R 50 50 1 1 B
X 27 27 -800 1650 150 R 50 50 1 1 B
X 37 37 -800 900 150 R 50 50 1 1 B
X 47 47 -800 150 150 R 50 50 1 1 B
X 57 57 -800 -600 150 R 50 50 1 1 B
X 67 67 -800 -1350 150 R 50 50 1 1 B
X 77 77 -800 -2100 150 R 50 50 1 1 B
X 87 87 -800 -2850 150 R 50 50 1 1 B
X 97 97 -800 -3600 150 R 50 50 1 1 B
X 18 18 800 2400 150 L 50 50 1 1 B
X 28 28 800 1650 150 L 50 50 1 1 B
X 38 38 800 900 150 L 50 50 1 1 B
X 48 48 800 150 150 L 50 50 1 1 B
X 58 58 800 -600 150 L 50 50 1 1 B
X 68 68 800 -1350 150 L 50 50 1 1 B
X 78 78 800 -2100 150 L 50 50 1 1 B
X 88 88 800 -2850 150 L 50 50 1 1 B
X 98 98 800 -3600 150 L 50 50 1 1 B
X 19 19 -800 2250 150 R 50 50 1 1 B
X 29 29 -800 1500 150 R 50 50 1 1 B
X 39 39 -800 750 150 R 50 50 1 1 B
X 49 49 -800 0 150 R 50 50 1 1 B
X 59 59 -800 -750 150 R 50 50 1 1 B
X 69 69 -800 -1500 150 R 50 50 1 1 B
X 79 79 -800 -2250 150 R 50 50 1 1 B
X 89 89 -800 -3000 150 R 50 50 1 1 B
X 99 99 -800 -3750 150 R 50 50 1 1 B
X 100 100 800 -3750 150 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# NCP5501DT33G
#
DEF NCP5501DT33G U 0 30 N Y 1 F N
......
......@@ -57,7 +57,7 @@ F 1 "CONN_02X06" H 3200 650 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06" H 3200 -200 50 0001 C CNN
F 3 "" H 3200 -200 50 0000 C CNN
1 3200 1000
1 0 0 -1
1 0 0 1
$EndComp
$Comp
L CONN_02X06 P3
......@@ -112,7 +112,7 @@ F 1 "CONN_02X06" H 3200 5750 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06" H 3200 4900 50 0001 C CNN
F 3 "" H 3200 4900 50 0000 C CNN
1 3200 6100
1 0 0 -1
1 0 0 1
$EndComp
$Comp
L CONN_02X06 P8
......@@ -1000,41 +1000,41 @@ Text GLabel 2900 2850 0 60 Input ~ 12
JX1_LVDS_7_P
Text GLabel 3500 3150 2 60 Input ~ 12
JX1_LVDS_10_N
Text GLabel 2750 4050 0 60 Input ~ 12
SCLK_1
Text GLabel 3550 3950 2 60 Input ~ 12
CSB_1
Text GLabel 2850 4750 0 60 Input ~ 12
SDIO_2
Text GLabel 2900 3950 0 60 Input ~ 12
JX1_LVDS_14_P
Text GLabel 3500 3950 2 60 Input ~ 12
JX1_LVDS_14_N
Text GLabel 2850 4850 0 60 Input ~ 12
SCLK_2
JX1_LVDS_17_P
Text GLabel 2850 4750 0 60 Input ~ 12
JX1_LVDS_16_P
Text GLabel 3550 4750 2 60 Input ~ 12
CSB_2
JX1_LVDS_16_N
Text GLabel 2900 3850 0 60 Input ~ 12
JX1_LVDS_13_P
Text GLabel 3500 3850 2 60 Input ~ 12
JX1_LVDS_13_N
Text GLabel 2750 3950 0 60 Input ~ 12
SDIO_1
Text GLabel 2850 1050 0 60 Input ~ 12
Text GLabel 2900 4050 0 60 Input ~ 12
JX1_LVDS_15_P
Text GLabel 2900 1050 0 60 Input ~ 12
PWR_ENABLE
Text GLabel 2900 850 0 60 Input ~ 0
Text GLabel 3550 950 2 60 Input ~ 0
JTAG_TCK
Text GLabel 2850 950 0 60 Input ~ 0
Text GLabel 3550 1050 2 60 Input ~ 0
JTAG_TDO
Text GLabel 3550 850 2 60 Input ~ 0
Text GLabel 2900 950 0 60 Input ~ 0
JTAG_TMS
Text GLabel 3550 950 2 60 Input ~ 0
Text GLabel 3550 850 2 60 Input ~ 0
JTAG_TDI
Text GLabel 3550 1050 2 60 Input ~ 0
Text GLabel 2900 850 0 60 Input ~ 0
CARRIER_SRST#
Text GLabel 2850 1150 0 60 Input ~ 0
FPGA_VBATT
Text GLabel 3550 1150 2 60 Input ~ 0
FPGA_VBATT
Text GLabel 3550 750 2 60 Input ~ 0
FPGA_DONE
Text GLabel 2850 1250 0 60 Input ~ 0
Text GLabel 2900 1150 0 60 Input ~ 0
JX1_SE_0
Text GLabel 3550 1250 2 60 Input ~ 0
Text GLabel 2900 750 0 60 Input ~ 0
JX1_SE_1
Text GLabel 2900 3250 0 60 Input ~ 0
JX1_LVDS_11_P
......@@ -1096,30 +1096,28 @@ Text GLabel 3600 6900 2 60 Input ~ 0
VN_0_N
Text GLabel 3600 7000 2 60 Input ~ 0
DXN_0_N
Wire Wire Line
2950 750 2800 750
$Comp
L GND #PWR0187
U 1 1 56E9CB2B
P 2800 750
F 0 "#PWR0187" H 2800 500 50 0001 C CNN
F 1 "GND" H 2800 600 50 0000 C CNN
F 2 "" H 2800 750 50 0000 C CNN
F 3 "" H 2800 750 50 0000 C CNN
1 2800 750
P 2850 1250
F 0 "#PWR0187" H 2850 1000 50 0001 C CNN
F 1 "GND" H 2850 1100 50 0000 C CNN
F 2 "" H 2850 1250 50 0000 C CNN
F 3 "" H 2850 1250 50 0000 C CNN
1 2850 1250
0 1 1 0
$EndComp
Wire Wire Line
3450 750 3650 750
3450 750 3550 750
$Comp
L GND #PWR0188
U 1 1 56EA6D6D
P 3650 750
F 0 "#PWR0188" H 3650 500 50 0001 C CNN
F 1 "GND" H 3650 600 50 0000 C CNN
F 2 "" H 3650 750 50 0000 C CNN
F 3 "" H 3650 750 50 0000 C CNN
1 3650 750
P 3550 1250
F 0 "#PWR0188" H 3550 1000 50 0001 C CNN
F 1 "GND" H 3550 1100 50 0000 C CNN
F 2 "" H 3550 1250 50 0000 C CNN
F 3 "" H 3550 1250 50 0000 C CNN
1 3550 1250
0 -1 -1 0
$EndComp
$Comp
......@@ -1147,11 +1145,11 @@ $EndComp
Wire Wire Line
2950 850 2900 850
Wire Wire Line
2850 950 2950 950
2900 950 2950 950
Wire Wire Line
2950 1050 2850 1050
2950 1050 2900 1050
Wire Wire Line
2850 1150 2950 1150
2900 1150 2950 1150
Wire Wire Line
2950 1250 2850 1250
Wire Wire Line
......@@ -1217,13 +1215,13 @@ Wire Wire Line
Wire Wire Line
2950 3850 2900 3850
Wire Wire Line
2950 3950 2750 3950
2950 3950 2900 3950
Wire Wire Line
2750 4050 2950 4050
2900 4050 2950 4050
Wire Wire Line
3450 4050 3500 4050
Wire Wire Line
3550 3950 3450 3950
3500 3950 3450 3950
Wire Wire Line
3500 3850 3450 3850
Wire Wire Line
......@@ -1376,4 +1374,6 @@ Wire Notes Line
2000 7650 4400 7650
Text Notes 5000 7650 2 60 Italic 0
Connectors\n
Wire Wire Line
2900 750 2950 750
$EndSCHEMATC
......@@ -57,7 +57,7 @@ F 1 "CONN_02X06" H 3200 650 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06" H 3200 -200 50 0001 C CNN
F 3 "" H 3200 -200 50 0000 C CNN
1 3200 1000
1 0 0 -1
1 0 0 1
$EndComp
$Comp
L CONN_02X06 P3
......@@ -112,7 +112,7 @@ F 1 "CONN_02X06" H 3200 5750 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06" H 3200 4900 50 0001 C CNN
F 3 "" H 3200 4900 50 0000 C CNN
1 3200 6100
1 0 0 -1
1 0 0 1
$EndComp
$Comp
L CONN_02X06 P8
......@@ -1000,41 +1000,41 @@ Text GLabel 2900 2850 0 60 Input ~ 12
JX1_LVDS_7_P
Text GLabel 3500 3150 2 60 Input ~ 12
JX1_LVDS_10_N
Text GLabel 2750 4050 0 60 Input ~ 12
SCLK_1
Text GLabel 3550 3950 2 60 Input ~ 12
CSB_1
Text GLabel 2850 4750 0 60 Input ~ 12
SDIO_2
Text GLabel 2900 3950 0 60 Input ~ 12
JX1_LVDS_14_P
Text GLabel 3500 3950 2 60 Input ~ 12
JX1_LVDS_14_N
Text GLabel 2850 4850 0 60 Input ~ 12
SCLK_2
JX1_LVDS_17_P
Text GLabel 2850 4750 0 60 Input ~ 12
JX1_LVDS_16_P
Text GLabel 3550 4750 2 60 Input ~ 12
CSB_2
JX1_LVDS_16_N
Text GLabel 2900 3850 0 60 Input ~ 12
JX1_LVDS_13_P
Text GLabel 3500 3850 2 60 Input ~ 12
JX1_LVDS_13_N
Text GLabel 2750 3950 0 60 Input ~ 12
SDIO_1
Text GLabel 2850 1050 0 60 Input ~ 12
Text GLabel 2900 4050 0 60 Input ~ 12
JX1_LVDS_15_P
Text GLabel 2900 1050 0 60 Input ~ 12
PWR_ENABLE
Text GLabel 2900 850 0 60 Input ~ 0
Text GLabel 3550 950 2 60 Input ~ 0
JTAG_TCK
Text GLabel 2850 950 0 60 Input ~ 0
Text GLabel 3550 1050 2 60 Input ~ 0
JTAG_TDO
Text GLabel 3550 850 2 60 Input ~ 0
Text GLabel 2900 950 0 60 Input ~ 0
JTAG_TMS
Text GLabel 3550 950 2 60 Input ~ 0
Text GLabel 3550 850 2 60 Input ~ 0
JTAG_TDI
Text GLabel 3550 1050 2 60 Input ~ 0
Text GLabel 2900 850 0 60 Input ~ 0
CARRIER_SRST#
Text GLabel 2850 1150 0 60 Input ~ 0
FPGA_VBATT
Text GLabel 3550 1150 2 60 Input ~ 0
FPGA_VBATT
Text GLabel 3550 750 2 60 Input ~ 0
FPGA_DONE
Text GLabel 2850 1250 0 60 Input ~ 0
Text GLabel 2900 1150 0 60 Input ~ 0
JX1_SE_0
Text GLabel 3550 1250 2 60 Input ~ 0
Text GLabel 2900 750 0 60 Input ~ 0
JX1_SE_1
Text GLabel 2900 3250 0 60 Input ~ 0
JX1_LVDS_11_P
......@@ -1096,30 +1096,28 @@ Text GLabel 3600 6900 2 60 Input ~ 0
VN_0_N
Text GLabel 3600 7000 2 60 Input ~ 0
DXN_0_N
Wire Wire Line
2950 750 2800 750
$Comp
L GND #PWR0187
U 1 1 56E9CB2B
P 2800 750
F 0 "#PWR0187" H 2800 500 50 0001 C CNN
F 1 "GND" H 2800 600 50 0000 C CNN
F 2 "" H 2800 750 50 0000 C CNN
F 3 "" H 2800 750 50 0000 C CNN
1 2800 750
P 2850 1250
F 0 "#PWR0187" H 2850 1000 50 0001 C CNN
F 1 "GND" H 2850 1100 50 0000 C CNN
F 2 "" H 2850 1250 50 0000 C CNN
F 3 "" H 2850 1250 50 0000 C CNN
1 2850 1250
0 1 1 0
$EndComp
Wire Wire Line
3450 750 3650 750
3450 750 3550 750
$Comp
L GND #PWR0188
U 1 1 56EA6D6D
P 3650 750
F 0 "#PWR0188" H 3650 500 50 0001 C CNN
F 1 "GND" H 3650 600 50 0000 C CNN
F 2 "" H 3650 750 50 0000 C CNN
F 3 "" H 3650 750 50 0000 C CNN
1 3650 750
P 3550 1250
F 0 "#PWR0188" H 3550 1000 50 0001 C CNN
F 1 "GND" H 3550 1100 50 0000 C CNN
F 2 "" H 3550 1250 50 0000 C CNN
F 3 "" H 3550 1250 50 0000 C CNN
1 3550 1250
0 -1 -1 0
$EndComp
$Comp
......@@ -1147,11 +1145,11 @@ $EndComp
Wire Wire Line
2950 850 2900 850
Wire Wire Line
2850 950 2950 950
2900 950 2950 950
Wire Wire Line
2950 1050 2850 1050
2950 1050 2900 1050
Wire Wire Line
2850 1150 2950 1150
2900 1150 2950 1150
Wire Wire Line
2950 1250 2850 1250
Wire Wire Line
......@@ -1217,13 +1215,13 @@ Wire Wire Line
Wire Wire Line
2950 3850 2900 3850
Wire Wire Line
2950 3950 2750 3950
2950 3950 2900 3950
Wire Wire Line
2750 4050 2950 4050
2900 4050 2950 4050
Wire Wire Line
3450 4050 3500 4050
Wire Wire Line
3550 3950 3450 3950
3500 3950 3450 3950
Wire Wire Line
3500 3850 3450 3850
Wire Wire Line
......@@ -1376,4 +1374,6 @@ Wire Notes Line
2000 7650 4400 7650
Text Notes 5000 7650 2 60 Italic 0
Connectors\n
Wire Wire Line
2900 750 2950 750
$EndSCHEMATC
......@@ -179,6 +179,121 @@ X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# FCIConn
#
DEF FCIConn Q 0 0 Y Y 1 F N
F0 "Q" 0 -3900 50 H V L CNN
F1 "FCIConn" -150 -4000 50 H V L CNN
F2 "" 1985 -3660 50 H V L CIN
F3 "" 700 2500 50 H V L CNN
$FPLIST
TO-92*
$ENDFPLIST
DRAW
S -650 3700 650 -3850 0 1 0 N
X 1 1 -800 3600 150 R 50 50 1 1 B
X 2 2 800 3600 150 L 50 50 1 1 B
X 3 3 -800 3450 150 R 50 50 1 1 B
X 4 4 800 3450 150 L 50 50 1 1 B
X 5 5 -800 3300 150 R 50 50 1 1 B
X 6 6 800 3300 150 L 50 50 1 1 B
X 7 7 -800 3150 150 R 50 50 1 1 B
X 8 8 800 3150 150 L 50 50 1 1 B
X 9 9 -800 3000 150 R 50 50 1 1 B
X 10 10 800 3000 150 L 50 50 1 1 B
X 20 20 800 2250 150 L 50 50 1 1 B
X 30 30 800 1500 150 L 50 50 1 1 B
X 40 40 800 750 150 L 50 50 1 1 B
X 50 50 800 0 150 L 50 50 1 1 B
X 60 60 800 -750 150 L 50 50 1 1 B
X 70 70 800 -1500 150 L 50 50 1 1 B
X 80 80 800 -2250 150 L 50 50 1 1 B
X 90 90 800 -3000 150 L 50 50 1 1 B
X 11 11 -800 2850 150 R 50 50 1 1 B
X 21 21 -800 2100 150 R 50 50 1 1 B
X 31 31 -800 1350 150 R 50 50 1 1 B
X 41 41 -800 600 150 R 50 50 1 1 B
X 51 51 -800 -150 150 R 50 50 1 1 B
X 61 61 -800 -900 150 R 50 50 1 1 B
X 71 71 -800 -1650 150 R 50 50 1 1 B
X 81 81 -800 -2400 150 R 50 50 1 1 B
X 91 91 -800 -3150 150 R 50 50 1 1 B
X 12 12 800 2850 150 L 50 50 1 1 B
X 22 22 800 2100 150 L 50 50 1 1 B
X 32 32 800 1350 150 L 50 50 1 1 B
X 42 42 800 600 150 L 50 50 1 1 B
X 52 52 800 -150 150 L 50 50 1 1 B
X 62 62 800 -900 150 L 50 50 1 1 B
X 72 72 800 -1650 150 L 50 50 1 1 B
X 82 82 800 -2400 150 L 50 50 1 1 B
X 92 92 800 -3150 150 L 50 50 1 1 B
X 13 13 -800 2700 150 R 50 50 1 1 B
X 23 23 -800 1950 150 R 50 50 1 1 B
X 33 33 -800 1200 150 R 50 50 1 1 B
X 43 43 -800 450 150 R 50 50 1 1 B
X 53 53 -800 -300 150 R 50 50 1 1 B
X 63 63 -800 -1050 150 R 50 50 1 1 B
X 73 73 -800 -1800 150 R 50 50 1 1 B
X 83 83 -800 -2550 150 R 50 50 1 1 B
X 93 93 -800 -3300 150 R 50 50 1 1 B
X 14 14 800 2700 150 L 50 50 1 1 B
X 24 24 800 1950 150 L 50 50 1 1 B
X 34 34 800 1200 150 L 50 50 1 1 B
X 44 44 800 450 150 L 50 50 1 1 B
X 54 54 800 -300 150 L 50 50 1 1 B
X 64 64 800 -1050 150 L 50 50 1 1 B
X 74 74 800 -1800 150 L 50 50 1 1 B
X 84 84 800 -2550 150 L 50 50 1 1 B
X 94 94 800 -3300 150 L 50 50 1 1 B
X 15 15 -800 2550 150 R 50 50 1 1 B
X 25 25 -800 1800 150 R 50 50 1 1 B
X 35 35 -800 1050 150 R 50 50 1 1 B
X 45 45 -800 300 150 R 50 50 1 1 B
X 55 55 -800 -450 150 R 50 50 1 1 B
X 65 65 -800 -1200 150 R 50 50 1 1 B
X 75 75 -800 -1950 150 R 50 50 1 1 B
X 85 85 -800 -2700 150 R 50 50 1 1 B
X 95 95 -800 -3450 150 R 50 50 1 1 B
X 16 16 800 2550 150 L 50 50 1 1 B
X 26 26 800 1800 150 L 50 50 1 1 B
X 36 36 800 1050 150 L 50 50 1 1 B
X 46 46 800 300 150 L 50 50 1 1 B
X 56 56 800 -450 150 L 50 50 1 1 B
X 66 66 800 -1200 150 L 50 50 1 1 B
X 76 76 800 -1950 150 L 50 50 1 1 B
X 86 86 800 -2700 150 L 50 50 1 1 B
X 96 96 800 -3450 150 L 50 50 1 1 B
X 17 17 -800 2400 150 R 50 50 1 1 B
X 27 27 -800 1650 150 R 50 50 1 1 B
X 37 37 -800 900 150 R 50 50 1 1 B
X 47 47 -800 150 150 R 50 50 1 1 B
X 57 57 -800 -600 150 R 50 50 1 1 B
X 67 67 -800 -1350 150 R 50 50 1 1 B
X 77 77 -800 -2100 150 R 50 50 1 1 B
X 87 87 -800 -2850 150 R 50 50 1 1 B
X 97 97 -800 -3600 150 R 50 50 1 1 B
X 18 18 800 2400 150 L 50 50 1 1 B
X 28 28 800 1650 150 L 50 50 1 1 B
X 38 38 800 900 150 L 50 50 1 1 B
X 48 48 800 150 150 L 50 50 1 1 B
X 58 58 800 -600 150 L 50 50 1 1 B
X 68 68 800 -1350 150 L 50 50 1 1 B
X 78 78 800 -2100 150 L 50 50 1 1 B
X 88 88 800 -2850 150 L 50 50 1 1 B
X 98 98 800 -3600 150 L 50 50 1 1 B
X 19 19 -800 2250 150 R 50 50 1 1 B
X 29 29 -800 1500 150 R 50 50 1 1 B
X 39 39 -800 750 150 R 50 50 1 1 B
X 49 49 -800 0 150 R 50 50 1 1 B
X 59 59 -800 -750 150 R 50 50 1 1 B
X 69 69 -800 -1500 150 R 50 50 1 1 B
X 79 79 -800 -2250 150 R 50 50 1 1 B
X 89 89 -800 -3000 150 R 50 50 1 1 B
X 99 99 -800 -3750 150 R 50 50 1 1 B
X 100 100 800 -3750 150 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# Ferrite_bead
#
DEF Ferrite_bead L 0 0 N N 1 F N
......@@ -366,124 +481,6 @@ X SD1 12 650 -450 200 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# MYCON100
#
DEF MYCON100 Q 0 0 Y Y 1 F N
F0 "Q" -450 -2625 50 H V L CNN
F1 "MYCON100" -550 -2700 50 H V L CNN
F2 "" -500 -2750 50 H V L CIN
F3 "" -1650 -200 50 H V L CNN
$FPLIST
TO-92*
$ENDFPLIST
DRAW
S -1450 -2550 -1750 1900 0 1 0 N
S -250 -2550 -550 1900 0 1 0 N
S 950 -2550 650 1900 0 1 0 N
S 2200 400 1900 1900 0 1 0 N
X 1 1 -1900 1850 150 R 50 50 1 1 B
X 2 2 -1900 1700 150 R 50 50 1 1 B
X 3 3 -1900 1550 150 R 50 50 1 1 B
X 4 4 -1900 1400 150 R 50 50 1 1 B
X 5 5 -1900 1250 150 R 50 50 1 1 B
X 6 6 -1900 1100 150 R 50 50 1 1 B
X 7 7 -1900 950 150 R 50 50 1 1 B
X 8 8 -1900 800 150 R 50 50 1 1 B
X 9 9 -1900 650 150 R 50 50 1 1 B
X 10 10 -1900 500 150 R 50 50 1 1 B
X 20 20 -1900 -1000 150 R 50 50 1 1 B
X 30 30 -1900 -2500 150 R 50 50 1 1 B
X 40 40 -700 500 150 R 50 50 1 1 B
X 50 50 -700 -1000 150 R 50 50 1 1 B
X 60 60 -700 -2500 150 R 50 50 1 1 B
X 70 70 500 500 150 R 50 50 1 1 B
X 80 80 500 -1000 150 R 50 50 1 1 B
X 90 90 500 -2500 150 R 50 50 1 1 B
X 11 11 -1900 350 150 R 50 50 1 1 B
X 21 21 -1900 -1150 150 R 50 50 1 1 B
X 31 31 -700 1850 150 R 50 50 1 1 B
X 41 41 -700 350 150 R 50 50 1 1 B
X 51 51 -700 -1150 150 R 50 50 1 1 B
X 61 61 500 1850 150 R 50 50 1 1 B
X 71 71 500 350 150 R 50 50 1 1 B
X 81 81 500 -1150 150 R 50 50 1 1 B
X 91 91 1750 1850 150 R 50 50 1 1 B
X 12 12 -1900 200 150 R 50 50 1 1 B
X 22 22 -1900 -1300 150 R 50 50 1 1 B
X 32 32 -700 1700 150 R 50 50 1 1 B
X 42 42 -700 200 150 R 50 50 1 1 B
X 52 52 -700 -1300 150 R 50 50 1 1 B
X 62 62 500 1700 150 R 50 50 1 1 B
X 72 72 500 200 150 R 50 50 1 1 B
X 82 82 500 -1300 150 R 50 50 1 1 B
X 92 92 1750 1700 150 R 50 50 1 1 B
X 13 13 -1900 50 150 R 50 50 1 1 B
X 23 23 -1900 -1450 150 R 50 50 1 1 B
X 33 33 -700 1550 150 R 50 50 1 1 B
X 43 43 -700 50 150 R 50 50 1 1 B
X 53 53 -700 -1450 150 R 50 50 1 1 B
X 63 63 500 1550 150 R 50 50 1 1 B
X 73 73 500 50 150 R 50 50 1 1 B
X 83 83 500 -1450 150 R 50 50 1 1 B
X 93 93 1750 1550 150 R 50 50 1 1 B
X 14 14 -1900 -100 150 R 50 50 1 1 B
X 24 24 -1900 -1600 150 R 50 50 1 1 B
X 34 34 -700 1400 150 R 50 50 1 1 B
X 44 44 -700 -100 150 R 50 50 1 1 B
X 54 54 -700 -1600 150 R 50 50 1 1 B
X 64 64 500 1400 150 R 50 50 1 1 B
X 74 74 500 -100 150 R 50 50 1 1 B
X 84 84 500 -1600 150 R 50 50 1 1 B
X 94 94 1750 1400 150 R 50 50 1 1 B
X 15 15 -1900 -250 150 R 50 50 1 1 B
X 25 25 -1900 -1750 150 R 50 50 1 1 B
X 35 35 -700 1250 150 R 50 50 1 1 B
X 45 45 -700 -250 150 R 50 50 1 1 B
X 55 55 -700 -1750 150 R 50 50 1 1 B
X 65 65 500 1250 150 R 50 50 1 1 B
X 75 75 500 -250 150 R 50 50 1 1 B
X 85 85 500 -1750 150 R 50 50 1 1 B
X 95 95 1750 1250 150 R 50 50 1 1 B
X 16 16 -1900 -400 150 R 50 50 1 1 B
X 26 26 -1900 -1900 150 R 50 50 1 1 B
X 36 36 -700 1100 150 R 50 50 1 1 B
X 46 46 -700 -400 150 R 50 50 1 1 B
X 56 56 -700 -1900 150 R 50 50 1 1 B
X 66 66 500 1100 150 R 50 50 1 1 B
X 76 76 500 -400 150 R 50 50 1 1 B
X 86 86 500 -1900 150 R 50 50 1 1 B
X 96 96 1750 1100 150 R 50 50 1 1 B
X 17 17 -1900 -550 150 R 50 50 1 1 B
X 27 27 -1900 -2050 150 R 50 50 1 1 B
X 37 37 -700 950 150 R 50 50 1 1 B
X 47 47 -700 -550 150 R 50 50 1 1 B
X 57 57 -700 -2050 150 R 50 50 1 1 B
X 67 67 500 950 150 R 50 50 1 1 B
X 77 77 500 -550 150 R 50 50 1 1 B
X 87 87 500 -2050 150 R 50 50 1 1 B
X 97 97 1750 950 150 R 50 50 1 1 B
X 18 18 -1900 -700 150 R 50 50 1 1 B
X 28 28 -1900 -2200 150 R 50 50 1 1 B
X 38 38 -700 800 150 R 50 50 1 1 B
X 48 48 -700 -700 150 R 50 50 1 1 B
X 58 58 -700 -2200 150 R 50 50 1 1 B
X 68 68 500 800 150 R 50 50 1 1 B
X 78 78 500 -700 150 R 50 50 1 1 B
X 88 88 500 -2200 150 R 50 50 1 1 B
X 98 98 1750 800 150 R 50 50 1 1 B
X 19 19 -1900 -850 150 R 50 50 1 1 B
X 29 29 -1900 -2350 150 R 50 50 1 1 B
X 39 39 -700 650 150 R 50 50 1 1 B
X 49 49 -700 -850 150 R 50 50 1 1 B
X 59 59 -700 -2350 150 R 50 50 1 1 B
X 69 69 500 650 150 R 50 50 1 1 B
X 79 79 500 -850 150 R 50 50 1 1 B
X 89 89 500 -2350 150 R 50 50 1 1 B
X 99 99 1750 650 150 R 50 50 1 1 B
X 100 100 1750 500 150 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# NCP5501DT33G
#
DEF NCP5501DT33G U 0 30 N Y 1 F N
......
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
update=14/03/2016 17:25:50
update=15/03/2016 16:13:08
version=1
last_client=kicad
[cvpcb]
......
(export (version D)
(design
(source C:/Users/nboucque/r19-tdc-del-a/adcBoard/adcBoard.sch)
(date "14/03/2016 17:25:20")
(date "15/03/2016 15:58:20")
(tool "Eeschema 4.0.1-stable")
(sheet (number 1) (name /) (tstamps /)
(title_block
......@@ -119,13 +119,13 @@
(tstamp 56CF78C1))
(comp (ref JP2)
(value JUMPER3)
(footprint Connect:PINHEAD1-3)
(footprint Pin_Headers:Pin_Header_Straight_1x03)
(libsource (lib device) (part JUMPER3))
(sheetpath (names /ADC_CLK_splitter/) (tstamps /56C19FE0/))
(tstamp 56DAB453))
(comp (ref JP1)
(value JUMPER3)
(footprint Connect:PINHEAD1-3)
(footprint Pin_Headers:Pin_Header_Straight_1x03)
(libsource (lib device) (part JUMPER3))
(sheetpath (names /ADC_CLK_splitter/) (tstamps /56C19FE0/))
(tstamp 56DABB68))
......@@ -147,18 +147,18 @@
(libsource (lib MyLibrary) (part Ferrite_bead))
(sheetpath (names /ADC_CLK_splitter/) (tstamps /56C19FE0/))
(tstamp 56DB1A4B))
(comp (ref Q2)
(value JX2)
(comp (ref Q1)
(value FCIConn)
(footprint .pretty:FCI)
(libsource (lib conn) (part MYCON100))
(libsource (lib MyLibrary) (part FCIConn))
(sheetpath (names /FCI_Connector/) (tstamps /56C2A450/))
(tstamp 56C4E56F))
(comp (ref Q1)
(value JX1)
(tstamp 56E7FC95))
(comp (ref Q2)
(value FCIConn)
(footprint .pretty:FCI)
(libsource (lib conn) (part MYCON100))
(libsource (lib MyLibrary) (part FCIConn))
(sheetpath (names /FCI_Connector/) (tstamps /56C2A450/))
(tstamp 56C4AC9D))
(tstamp 56E80637))
(comp (ref CON1)
(value BARREL_JACK)
(footprint Connect:BARREL_JACK)
......@@ -1672,110 +1672,14 @@
(pins
(pin (num 1) (name K) (type passive))
(pin (num 2) (name A) (type passive))))
(libpart (lib MyLibrary) (part Ferrite_bead)
(fields
(field (name Reference) L)
(field (name Value) Ferrite_bead))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib device) (part HEATSINK)
(fields
(field (name Reference) HS)
(field (name Value) HEATSINK)))
(libpart (lib MyLibrary) (part INDUCTOR_DOT)
(fields
(field (name Reference) L)
(field (name Value) INDUCTOR_DOT))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib device) (part JUMPER3)
(fields
(field (name Reference) JP)
(field (name Value) JUMPER3))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))
(pin (num 3) (name 3) (type passive))))
(libpart (lib MyLibrary) (part LM43603)
(description "SIMPLE SWITCHER 3.5 to 36V 3A Synchronous Step-Down Voltage Converter")
(fields
(field (name Reference) U)
(field (name Value) LM43603))
(pins
(pin (num 1) (name SW) (type BiDi))
(pin (num 2) (name SW) (type passive))
(pin (num 3) (name CBOOT) (type input))
(pin (num 4) (name VCC) (type input))
(pin (num 5) (name BIAS) (type BiDi))
(pin (num 6) (name SYNC) (type input))
(pin (num 7) (name RT) (type input))
(pin (num 8) (name PGOOD) (type input))
(pin (num 9) (name FB) (type input))
(pin (num 10) (name AGND) (type input))
(pin (num 11) (name SS/TRK) (type input))
(pin (num 12) (name EN) (type input))
(pin (num 13) (name VIN) (type BiDi))
(pin (num 14) (name VIN) (type passive))
(pin (num 15) (name PGND) (type BiDi))
(pin (num 16) (name PGND) (type BiDi))
(pin (num 17) (name PAD) (type input))))
(libpart (lib adcBoard-cache) (part LP3982-RESCUE-adcBoard)
(fields
(field (name Reference) U)
(field (name Value) LP3982-RESCUE-adcBoard))
(pins
(pin (num 1) (name OUT) (type BiDi))
(pin (num 2) (name VI) (type BiDi))
(pin (num 3) (name GND) (type BiDi))
(pin (num 4) (name OUT) (type passive))
(pin (num 5) (name SET) (type BiDi))
(pin (num 6) (name CC) (type BiDi))
(pin (num 7) (name /SHDN) (type BiDi))
(pin (num 8) (name /FAULT) (type BiDi))))
(libpart (lib power) (part LT3042)
(description "Ultrahigh PSRR RF Linear Regulator")
(docs http://www.analog.com/static/imported-files/data_sheets/AD390MIL.pdf)
(fields
(field (name Reference) U)
(field (name Value) LT3042))
(pins
(pin (num 1) (name IN) (type BiDi))
(pin (num 2) (name IN) (type passive))
(pin (num 3) (name EN/UV) (type input))
(pin (num 4) (name PG) (type input))
(pin (num 5) (name ILIM) (type input))
(pin (num 6) (name PGFB) (type input))
(pin (num 7) (name SET) (type input))
(pin (num 8) (name GND) (type input))
(pin (num 9) (name OUTS) (type passive))
(pin (num 10) (name OUT) (type BiDi))))
(libpart (lib MyLibrary) (part LT6957)
(fields
(field (name Reference) U)
(field (name Value) LT6957))
(pins
(pin (num 1) (name FILTA) (type input))
(pin (num 2) (name V+) (type BiDi))
(pin (num 3) (name In+) (type input))
(pin (num 4) (name In-) (type input))
(pin (num 5) (name GND) (type passive))
(pin (num 6) (name FILTB) (type input))
(pin (num 7) (name SD2) (type input))
(pin (num 8) (name OUT2+) (type input))
(pin (num 9) (name OUT2-) (type input))
(pin (num 10) (name OUT1-) (type BiDi))
(pin (num 11) (name OUT1+) (type BiDi))
(pin (num 12) (name SD1) (type input))))
(libpart (lib conn) (part MYCON100)
(libpart (lib MyLibrary) (part FCIConn)
(description 100Conn)
(docs http://www.vishay.com/docs/70215/70215.pdf)
(footprints
(fp TO-92*))
(fields
(field (name Reference) Q)
(field (name Value) MYCON100))
(field (name Value) FCIConn))
(pins
(pin (num 1) (name 1) (type BiDi))
(pin (num 2) (name 2) (type BiDi))
......@@ -1877,6 +1781,102 @@
(pin (num 98) (name 98) (type BiDi))
(pin (num 99) (name 99) (type BiDi))
(pin (num 100) (name 100) (type BiDi))))
(libpart (lib MyLibrary) (part Ferrite_bead)
(fields
(field (name Reference) L)
(field (name Value) Ferrite_bead))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib device) (part HEATSINK)
(fields
(field (name Reference) HS)
(field (name Value) HEATSINK)))
(libpart (lib MyLibrary) (part INDUCTOR_DOT)
(fields
(field (name Reference) L)
(field (name Value) INDUCTOR_DOT))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib device) (part JUMPER3)
(fields
(field (name Reference) JP)
(field (name Value) JUMPER3))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))
(pin (num 3) (name 3) (type passive))))
(libpart (lib MyLibrary) (part LM43603)
(description "SIMPLE SWITCHER 3.5 to 36V 3A Synchronous Step-Down Voltage Converter")
(fields
(field (name Reference) U)
(field (name Value) LM43603))
(pins
(pin (num 1) (name SW) (type BiDi))
(pin (num 2) (name SW) (type passive))
(pin (num 3) (name CBOOT) (type input))
(pin (num 4) (name VCC) (type input))
(pin (num 5) (name BIAS) (type BiDi))
(pin (num 6) (name SYNC) (type input))
(pin (num 7) (name RT) (type input))
(pin (num 8) (name PGOOD) (type input))
(pin (num 9) (name FB) (type input))
(pin (num 10) (name AGND) (type input))
(pin (num 11) (name SS/TRK) (type input))
(pin (num 12) (name EN) (type input))
(pin (num 13) (name VIN) (type BiDi))
(pin (num 14) (name VIN) (type passive))
(pin (num 15) (name PGND) (type BiDi))
(pin (num 16) (name PGND) (type BiDi))
(pin (num 17) (name PAD) (type input))))
(libpart (lib adcBoard-cache) (part LP3982-RESCUE-adcBoard)
(fields
(field (name Reference) U)
(field (name Value) LP3982-RESCUE-adcBoard))
(pins
(pin (num 1) (name OUT) (type BiDi))
(pin (num 2) (name VI) (type BiDi))
(pin (num 3) (name GND) (type BiDi))
(pin (num 4) (name OUT) (type passive))
(pin (num 5) (name SET) (type BiDi))
(pin (num 6) (name CC) (type BiDi))
(pin (num 7) (name /SHDN) (type BiDi))
(pin (num 8) (name /FAULT) (type BiDi))))
(libpart (lib power) (part LT3042)
(description "Ultrahigh PSRR RF Linear Regulator")
(docs http://www.analog.com/static/imported-files/data_sheets/AD390MIL.pdf)
(fields
(field (name Reference) U)
(field (name Value) LT3042))
(pins
(pin (num 1) (name IN) (type BiDi))
(pin (num 2) (name IN) (type passive))
(pin (num 3) (name EN/UV) (type input))
(pin (num 4) (name PG) (type input))
(pin (num 5) (name ILIM) (type input))
(pin (num 6) (name PGFB) (type input))
(pin (num 7) (name SET) (type input))
(pin (num 8) (name GND) (type input))
(pin (num 9) (name OUTS) (type passive))
(pin (num 10) (name OUT) (type BiDi))))
(libpart (lib MyLibrary) (part LT6957)
(fields
(field (name Reference) U)
(field (name Value) LT6957))
(pins
(pin (num 1) (name FILTA) (type input))
(pin (num 2) (name V+) (type BiDi))
(pin (num 3) (name In+) (type input))
(pin (num 4) (name In-) (type input))
(pin (num 5) (name GND) (type passive))
(pin (num 6) (name FILTB) (type input))
(pin (num 7) (name SD2) (type input))
(pin (num 8) (name OUT2+) (type input))
(pin (num 9) (name OUT2-) (type input))
(pin (num 10) (name OUT1-) (type BiDi))
(pin (num 11) (name OUT1+) (type BiDi))
(pin (num 12) (name SD1) (type input))))
(libpart (lib MyLibrary) (part NCP5501DT33G)
(description "500mA LDO Voltage Regulator")
(docs regulator\lm78xx.pdf)
......@@ -1920,18 +1920,18 @@
(pin (num 4) (name S1) (type passive))
(pin (num 6) (name S2) (type passive)))))
(libraries
(library (logical power)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\power.lib"))
(library (logical conn)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib"))
(library (logical adc-dac)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\adc-dac.lib"))
(library (logical MyLibrary)
(uri C:\Users\nboucque\r19-tdc-del-a\adcBoard\Libraries\MyLibrary.lib))
(library (logical adcBoard-rescue)
(uri C:\Users\nboucque\r19-tdc-del-a\adcBoard\adcBoard-rescue.lib))
(library (logical device)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib"))
(library (logical MyLibrary)
(uri C:\Users\nboucque\r19-tdc-del-a\adcBoard\Libraries\MyLibrary.lib))
(library (logical adc-dac)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\adc-dac.lib"))
(library (logical conn)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib"))
(library (logical power)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\power.lib"))
(library (logical adcBoard-cache)
(uri C:\Users\nboucque\r19-tdc-del-a\adcBoard\adcBoard-cache.lib)))
(nets
......@@ -1939,58 +1939,25 @@
(node (ref U1) (pin 6))
(node (ref JP1) (pin 2)))
(net (code 2) (name GNDA)
(node (ref J9) (pin 3))
(node (ref J10) (pin 5))
(node (ref J9) (pin 5))
(node (ref J9) (pin 4))
(node (ref J9) (pin 2))
(node (ref R18) (pin 2))
(node (ref C58) (pin 2))
(node (ref C67) (pin 2))
(node (ref C64) (pin 2))
(node (ref C61) (pin 2))
(node (ref C77) (pin 2))
(node (ref C79) (pin 2))
(node (ref C60) (pin 2))
(node (ref C70) (pin 2))
(node (ref C78) (pin 2))
(node (ref C69) (pin 2))
(node (ref C72) (pin 2))
(node (ref C73) (pin 2))
(node (ref J8) (pin 2))
(node (ref J8) (pin 3))
(node (ref C71) (pin 2))
(node (ref C68) (pin 2))
(node (ref C58) (pin 2))
(node (ref C74) (pin 2))
(node (ref C60) (pin 2))
(node (ref J14) (pin 5))
(node (ref J14) (pin 4))
(node (ref J14) (pin 3))
(node (ref J14) (pin 2))
(node (ref J12) (pin 5))
(node (ref J13) (pin 4))
(node (ref J12) (pin 3))
(node (ref J12) (pin 2))
(node (ref C100) (pin 2))
(node (ref J13) (pin 5))
(node (ref J12) (pin 4))
(node (ref C88) (pin 2))
(node (ref C92) (pin 2))
(node (ref C107) (pin 2))
(node (ref C104) (pin 2))
(node (ref C101) (pin 2))
(node (ref C117) (pin 2))
(node (ref C112) (pin 2))
(node (ref C111) (pin 2))
(node (ref C114) (pin 2))
(node (ref C119) (pin 2))
(node (ref C109) (pin 2))
(node (ref C110) (pin 2))
(node (ref C113) (pin 2))
(node (ref C118) (pin 2))
(node (ref J13) (pin 2))
(node (ref J13) (pin 3))
(node (ref C102) (pin 2))
(node (ref J10) (pin 3))
(node (ref J10) (pin 2))
(node (ref C89) (pin 2))
(node (ref C93) (pin 2))
(node (ref C99) (pin 2))
(node (ref C105) (pin 2))
(node (ref J10) (pin 4))
(node (ref C68) (pin 2))
(node (ref R27) (pin 1))
(node (ref T4) (pin 6))
(node (ref R17) (pin 2))
(node (ref T3) (pin 4))
(node (ref C97) (pin 2))
(node (ref C96) (pin 2))
(node (ref R16) (pin 2))
(node (ref C106) (pin 2))
(node (ref C103) (pin 2))
......@@ -1998,1075 +1965,1109 @@
(node (ref J11) (pin 3))
(node (ref J11) (pin 4))
(node (ref J11) (pin 5))
(node (ref J4) (pin 4))
(node (ref J4) (pin 3))
(node (ref J4) (pin 2))
(node (ref C96) (pin 2))
(node (ref C99) (pin 2))
(node (ref J10) (pin 3))
(node (ref J10) (pin 2))
(node (ref C88) (pin 2))
(node (ref C92) (pin 2))
(node (ref T4) (pin 6))
(node (ref R17) (pin 2))
(node (ref T3) (pin 4))
(node (ref C97) (pin 2))
(node (ref J9) (pin 5))
(node (ref J9) (pin 4))
(node (ref J9) (pin 3))
(node (ref J9) (pin 2))
(node (ref C93) (pin 2))
(node (ref C102) (pin 2))
(node (ref C105) (pin 2))
(node (ref J10) (pin 4))
(node (ref J10) (pin 5))
(node (ref J8) (pin 5))
(node (ref L11) (pin 2))
(node (ref C41) (pin 2))
(node (ref U3) (pin 8))
(node (ref J4) (pin 5))
(node (ref C59) (pin 2))
(node (ref R6) (pin 2))
(node (ref J8) (pin 2))
(node (ref J6) (pin 5))
(node (ref J6) (pin 4))
(node (ref J6) (pin 3))
(node (ref J6) (pin 2))
(node (ref J7) (pin 5))
(node (ref J7) (pin 4))
(node (ref J7) (pin 3))
(node (ref J7) (pin 2))
(node (ref C48) (pin 2))
(node (ref C52) (pin 2))
(node (ref C64) (pin 2))
(node (ref C61) (pin 2))
(node (ref C77) (pin 2))
(node (ref C79) (pin 2))
(node (ref C70) (pin 2))
(node (ref C78) (pin 2))
(node (ref C69) (pin 2))
(node (ref C72) (pin 2))
(node (ref C73) (pin 2))
(node (ref J8) (pin 3))
(node (ref J8) (pin 4))
(node (ref J8) (pin 5))
(node (ref J5) (pin 4))
(node (ref J5) (pin 5))
(node (ref C53) (pin 2))
(node (ref C49) (pin 2))
(node (ref C65) (pin 2))
(node (ref J5) (pin 3))
(node (ref T1) (pin 4))
(node (ref T2) (pin 6))
(node (ref R5) (pin 2))
(node (ref J3) (pin 5))
(node (ref J3) (pin 4))
(node (ref J3) (pin 3))
(node (ref J3) (pin 2))
(node (ref C67) (pin 2))
(node (ref T1) (pin 4))
(node (ref C57) (pin 2))
(node (ref C56) (pin 2))
(node (ref R15) (pin 1))
(node (ref J6) (pin 4))
(node (ref C89) (pin 2))
(node (ref C59) (pin 2))
(node (ref R6) (pin 2))
(node (ref J6) (pin 5))
(node (ref R4) (pin 2))
(node (ref J6) (pin 3))
(node (ref J6) (pin 2))
(node (ref J7) (pin 5))
(node (ref J7) (pin 4))
(node (ref J7) (pin 3))
(node (ref J7) (pin 2))
(node (ref J4) (pin 5))
(node (ref J4) (pin 4))
(node (ref J4) (pin 3))
(node (ref J4) (pin 2))
(node (ref C62) (pin 2))
(node (ref C66) (pin 2))
(node (ref C63) (pin 2))
(node (ref J5) (pin 2))
(node (ref J5) (pin 3))
(node (ref J5) (pin 4))
(node (ref J5) (pin 5))
(node (ref J8) (pin 4))
(node (ref C53) (pin 2))
(node (ref C49) (pin 2))
(node (ref C65) (pin 2))
(node (ref C3) (pin 1))
(node (ref J1) (pin 2))
(node (ref C5) (pin 1))
(node (ref JP2) (pin 1))
(node (ref JP1) (pin 1))
(node (ref C108) (pin 2))
(node (ref C98) (pin 2))
(node (ref C107) (pin 2))
(node (ref R1) (pin 2))
(node (ref C4) (pin 2))
(node (ref J1) (pin 3))
(node (ref J1) (pin 4))
(node (ref J1) (pin 5))
(node (ref U1) (pin 5))
(node (ref U1) (pin 12))
(node (ref U1) (pin 7))
(node (ref J1) (pin 4))
(node (ref J1) (pin 3))
(node (ref C4) (pin 2))
(node (ref R1) (pin 2))
(node (ref JP1) (pin 1))
(node (ref JP2) (pin 1))
(node (ref C5) (pin 1))
(node (ref J1) (pin 2))
(node (ref C3) (pin 1))
(node (ref U1) (pin 12))
(node (ref U1) (pin 5))
(node (ref C2) (pin 1))
(node (ref C98) (pin 2))
(node (ref C108) (pin 2)))
(node (ref C100) (pin 2))
(node (ref C117) (pin 2))
(node (ref C112) (pin 2))
(node (ref J13) (pin 3))
(node (ref J13) (pin 2))
(node (ref C111) (pin 2))
(node (ref C114) (pin 2))
(node (ref C119) (pin 2))
(node (ref C101) (pin 2))
(node (ref C109) (pin 2))
(node (ref C110) (pin 2))
(node (ref C113) (pin 2))
(node (ref C104) (pin 2))
(node (ref C118) (pin 2))
(node (ref J14) (pin 5))
(node (ref J12) (pin 4))
(node (ref J13) (pin 5))
(node (ref J12) (pin 2))
(node (ref J12) (pin 3))
(node (ref J13) (pin 4))
(node (ref J12) (pin 5))
(node (ref J14) (pin 2))
(node (ref J14) (pin 3))
(node (ref J14) (pin 4)))
(net (code 3) (name "Net-(JP2-Pad2)")
(node (ref U1) (pin 1))
(node (ref JP2) (pin 2)))
(net (code 4) (name 3P3V)
(node (ref JP2) (pin 3))
(node (ref L1) (pin 1))
(node (ref U2) (pin 3))
(node (ref U5) (pin 2))
(node (ref U3) (pin 1))
(node (ref C29) (pin 1))
(node (ref JP2) (pin 3))
(node (ref C42) (pin 1))
(node (ref U3) (pin 2))
(node (ref JP1) (pin 3))
(node (ref U3) (pin 6))
(node (ref JP1) (pin 3)))
(node (ref U3) (pin 2))
(node (ref U2) (pin 3))
(node (ref C29) (pin 1))
(node (ref L1) (pin 1))
(node (ref U3) (pin 1)))
(net (code 5) (name "Net-(C2-Pad2)")
(node (ref C2) (pin 2))
(node (ref C3) (pin 2))
(node (ref C5) (pin 2))
(node (ref U1) (pin 2))
(node (ref C2) (pin 2))
(node (ref L1) (pin 2))
(node (ref C5) (pin 2)))
(node (ref C3) (pin 2)))
(net (code 6) (name CLK_1_N)
(node (ref U1) (pin 9))
(node (ref R8) (pin 2))
(node (ref C51) (pin 2)))
(node (ref C51) (pin 2))
(node (ref R8) (pin 2)))
(net (code 7) (name CLK_1_P)
(node (ref R7) (pin 1))
(node (ref C50) (pin 2))
(node (ref U1) (pin 8)))
(net (code 8) (name "Net-(C4-Pad1)")
(node (ref U1) (pin 4))
(node (ref C4) (pin 1)))
(node (ref C4) (pin 1))
(node (ref U1) (pin 4)))
(net (code 9) (name "Net-(C1-Pad1)")
(node (ref U1) (pin 3))
(node (ref C1) (pin 1)))
(net (code 10) (name CLK_2_P)
(node (ref U1) (pin 11))
(node (ref R19) (pin 1))
(node (ref U1) (pin 11))
(node (ref C90) (pin 2)))
(net (code 11) (name CLK_2_N)
(node (ref R20) (pin 2))
(node (ref U1) (pin 10))
(node (ref C91) (pin 2)))
(node (ref C91) (pin 2))
(node (ref U1) (pin 10)))
(net (code 12) (name "Net-(C1-Pad2)")
(node (ref C1) (pin 2))
(node (ref R1) (pin 1))
(node (ref J1) (pin 1))
(node (ref R1) (pin 1)))
(net (code 13) (name "Net-(Q2-Pad8)")
(node (ref Q2) (pin 8)))
(net (code 14) (name INIT#)
(node (ref Q2) (pin 9)))
(net (code 15) (name JX2_LVDS__P)
(node (ref Q2) (pin 30)))
(net (code 16) (name JX2_LVDS_11_N)
(node (ref Q2) (pin 50)))
(net (code 17) (name VIN_58_2)
(node (ref C22) (pin 1))
(node (ref L8) (pin 2))
(node (ref Q2) (pin 60))
(node (ref C26) (pin 1))
(node (ref Q2) (pin 58))
(node (ref C131) (pin 1)))
(net (code 18) (name JX2_LVDS_17_N)
(node (ref Q2) (pin 70)))
(net (code 19) (name JX2_LVDS_23_N)
(node (ref Q2) (pin 90)))
(net (code 20) (name PG_MODULE)
(node (ref Q2) (pin 11)))
(net (code 21) (name JX2_LVDS_4_N)
(node (ref Q2) (pin 31)))
(net (code 22) (name JX2_LVDS_8_P)
(node (ref Q2) (pin 41)))
(net (code 23) (name JX2_LVDS_14_P)
(node (ref Q2) (pin 61)))
(net (code 24) (name VCCO_34_78)
(node (ref Q1) (pin 78))
(node (ref C1) (pin 2)))
(net (code 13) (name JX1_LVDS_15_P)
(node (ref Q1) (pin 54))
(node (ref U6) (pin 4))
(node (ref P5) (pin 5)))
(net (code 14) (name JX1_LVDS_17_N)
(node (ref Q1) (pin 64))
(node (ref P6) (pin 10)))
(net (code 15) (name JX1_LVDS_21_P)
(node (ref P6) (pin 1))
(node (ref Q1) (pin 74)))
(net (code 16) (name JX1_LVDS_23_N)
(node (ref Q1) (pin 84))
(node (ref P7) (pin 10)))
(net (code 17) (name B13_LVDS_3_N)
(node (ref P7) (pin 2))
(node (ref Q1) (pin 94)))
(net (code 18) (name JX1_LVDS_4_N)
(node (ref P3) (pin 4))
(node (ref Q1) (pin 25))
(node (ref U6) (pin 16)))
(net (code 19) (name JX1_LVDS_8_P)
(node (ref U7) (pin 11))
(node (ref P4) (pin 7))
(node (ref Q1) (pin 35)))
(net (code 20) (name JX1_LVDS_14_N)
(node (ref Q1) (pin 55))
(node (ref U6) (pin 20))
(node (ref P5) (pin 8)))
(net (code 21) (name JX1_LVDS_20_N)
(node (ref P6) (pin 4))
(node (ref Q1) (pin 75)))
(net (code 22) (name JX1_LVDS_11_N)
(node (ref Q1) (pin 44))
(node (ref P4) (pin 2)))
(net (code 23) (name JX1_LVDS_5_P)
(node (ref Q1) (pin 24))
(node (ref U6) (pin 17))
(node (ref P3) (pin 1)))
(net (code 24) (name JX1_LVDS_1_N)
(node (ref Q1) (pin 14))
(node (ref U6) (pin 10))
(node (ref P3) (pin 10)))
(net (code 25) (name B13_LVDS_2_N)
(node (ref Q1) (pin 93))
(node (ref P7) (pin 4)))
(net (code 26) (name JX1_LVDS_22_N)
(node (ref P7) (pin 12))
(node (ref Q1) (pin 83)))
(net (code 27) (name JX1_LVDS_20_P)
(node (ref P6) (pin 3))
(node (ref Q1) (pin 73)))
(net (code 28) (name JX1_LVDS_16_N)
(node (ref Q1) (pin 63))
(node (ref P6) (pin 12))
(node (ref U7) (pin 20)))
(net (code 29) (name JX1_LVDS_14_P)
(node (ref Q1) (pin 53))
(node (ref U6) (pin 5))
(node (ref P5) (pin 7)))
(net (code 30) (name JX1_LVDS_10_N)
(node (ref U7) (pin 16))
(node (ref Q1) (pin 43))
(node (ref P4) (pin 4)))
(net (code 31) (name JX1_LVDS_4_P)
(node (ref U6) (pin 15))
(node (ref P3) (pin 3))
(node (ref Q1) (pin 23)))
(net (code 32) (name JX1_LVDS_0_N)
(node (ref P3) (pin 12))
(node (ref U6) (pin 8))
(node (ref Q1) (pin 13)))
(net (code 33) (name B13_LVDS_3_P)
(node (ref P7) (pin 1))
(node (ref Q1) (pin 92)))
(net (code 34) (name JX1_LVDS_2_N)
(node (ref Q1) (pin 19))
(node (ref P3) (pin 8))
(node (ref U6) (pin 12)))
(net (code 35) (name DXP_0_P)
(node (ref P8) (pin 9))
(node (ref Q1) (pin 98)))
(net (code 36) (name B13_LVDS_1_P)
(node (ref Q1) (pin 88))
(node (ref P7) (pin 5)))
(net (code 37) (name VCCO_34_78)
(node (ref Q1) (pin 80))
(node (ref L2) (pin 2))
(node (ref C6) (pin 1))
(node (ref C16) (pin 1))
(node (ref C11) (pin 1))
(node (ref C16) (pin 1)))
(net (code 25) (name VIN_57_2)
(node (ref Q2) (pin 59))
(node (ref L7) (pin 2))
(node (ref C21) (pin 1))
(node (ref C25) (pin 1))
(node (ref Q2) (pin 57))
(node (ref C130) (pin 1)))
(net (code 26) (name "Net-(Q2-Pad7)")
(node (ref Q2) (pin 7)))
(net (code 27) (name VCCO_35_78)
(node (ref Q2) (pin 80))
(node (ref L3) (pin 2))
(node (ref C17) (pin 1))
(node (ref C12) (pin 1))
(node (ref C7) (pin 1))
(node (ref Q2) (pin 78)))
(net (code 28) (name "Net-(Q2-Pad1)")
(node (ref Q2) (pin 1)))
(net (code 29) (name "Net-(Q2-Pad2)")
(node (ref Q2) (pin 2)))
(net (code 30) (name "Net-(Q2-Pad3)")
(node (ref Q2) (pin 3)))
(net (code 31) (name "Net-(Q2-Pad4)")
(node (ref Q2) (pin 4)))
(net (code 32) (name "Net-(Q2-Pad6)")
(node (ref Q2) (pin 6)))
(net (code 33) (name JX2_LVDS_21_N)
(node (ref Q2) (pin 84)))
(net (code 34) (name B13_LVDS_4_P)
(node (ref Q2) (pin 93)))
(net (code 35) (name JX2_SE_1)
(node (ref Q2) (pin 14)))
(net (code 36) (name JX2_LVDS_3_P)
(node (ref Q2) (pin 24)))
(net (code 37) (name JX2_LVDS_9_N)
(node (ref Q2) (pin 44)))
(net (code 38) (name JX2_LVDS_13_P)
(node (ref Q2) (pin 54)))
(net (code 39) (name JX2_LVDS_15_N)
(node (ref Q2) (pin 64)))
(net (code 40) (name JX2_LVDS_19_P)
(node (ref Q2) (pin 74)))
(net (code 41) (name JX2_LVDS_20_N)
(node (ref Q2) (pin 83)))
(net (code 42) (name B13_LVDS_5_P)
(node (ref Q2) (pin 94)))
(net (code 43) (name JX2_LVDS_2_N)
(node (ref Q2) (pin 25)))
(net (code 44) (name JX2_LVDS_6_P)
(node (ref Q2) (pin 35)))
(net (code 45) (name JX2_LVDS_12_N)
(node (ref Q2) (pin 55)))
(net (code 46) (name JX1_SE_0)
(node (ref Q1) (pin 9))
(node (ref P2) (pin 11)))
(net (code 47) (name JX2_LVDS_21_P)
(node (ref Q2) (pin 82)))
(net (code 48) (name JX2_LVDS_5_N)
(node (ref Q2) (pin 32)))
(net (code 49) (name JX2_LVDS_9_P)
(node (ref Q2) (pin 42)))
(net (code 50) (name JX2_LVDS_15_P)
(node (ref Q2) (pin 62)))
(net (code 51) (name JX2_LVDS_20_P)
(node (ref Q2) (pin 81)))
(net (code 52) (name JX2_SE_0)
(node (ref Q2) (pin 13)))
(net (code 53) (name JX2_LVDS_2_P)
(node (ref Q2) (pin 23)))
(net (code 54) (name JX2_LVDS_8_N)
(node (ref Q2) (pin 43)))
(net (code 55) (name JX2_LVDS_12_P)
(node (ref Q2) (pin 53)))
(net (code 56) (name JX2_LVDS_14_N)
(node (ref Q2) (pin 63)))
(net (code 57) (name JX2_LVDS_18_P)
(node (ref Q2) (pin 73)))
(net (code 58) (name JX2_LVDS_3_N)
(node (ref Q2) (pin 26)))
(net (code 59) (name JX2_LVDS_4_P)
(node (ref Q2) (pin 29)))
(net (code 60) (name JX2_LVDS_7_P)
(node (ref Q2) (pin 36)))
(net (code 61) (name JX2_LVDS_6_N)
(node (ref Q2) (pin 37)))
(net (code 62) (name JX2_LVDS_7_N)
(node (ref Q2) (pin 38)))
(net (code 63) (name DXP_0_P)
(node (ref P8) (pin 9))
(node (ref Q1) (pin 98)))
(net (code 64) (name "Net-(Q2-Pad5)")
(node (ref Q2) (pin 5)))
(net (code 65) (name JX1_SE_1)
(node (ref Q1) (pin 10))
(node (ref P2) (pin 12)))
(net (code 66) (name B13_LVDS_2_P)
(node (ref P7) (pin 9))
(node (ref Q1) (pin 91)))
(net (code 67) (name B13_LVDS_3_P)
(node (ref P7) (pin 11))
(node (ref Q1) (pin 92)))
(net (code 68) (name B13_LVDS_2_N)
(node (ref P7) (pin 10))
(node (ref Q1) (pin 93)))
(net (code 69) (name B13_LVDS_3_N)
(node (ref P7) (pin 12))
(node (ref Q1) (pin 94)))
(net (code 70) (name DXN_0_N)
(node (ref Q1) (pin 100))
(node (ref P8) (pin 10)))
(net (code 71) (name VN_0_N)
(node (ref P8) (pin 12))
(node (ref Q1) (pin 99)))
(net (code 72) (name VP_0_P)
(node (ref P8) (pin 11))
(node (ref Q1) (pin 97)))
(net (code 73) (name JX2_LVDS_0_P)
(node (ref Q2) (pin 17)))
(net (code 74) (name JX2_LVDS_1_N)
(node (ref Q2) (pin 18))
(node (ref Q2) (pin 20)))
(net (code 75) (name JX2_LVDS_0_N)
(node (ref Q2) (pin 19)))
(net (code 76) (name VCCO_13)
(node (ref Q2) (pin 98)))
(net (code 77) (name B13_SE_0)
(node (ref Q2) (pin 100)))
(net (code 78) (name VIN_58_1)
(node (ref Q1) (pin 78))
(node (ref L2) (pin 2))
(node (ref C6) (pin 1)))
(net (code 38) (name JX1_LVDS_19_P)
(node (ref Q1) (pin 68))
(node (ref P6) (pin 5)))
(net (code 39) (name VIN_58_1)
(node (ref Q1) (pin 60))
(node (ref Q1) (pin 58))
(node (ref C9) (pin 1))
(node (ref C14) (pin 1))
(node (ref C19) (pin 1))
(node (ref L5) (pin 2))
(node (ref Q1) (pin 58)))
(net (code 79) (name VIN_57_1)
(node (ref L5) (pin 2)))
(net (code 40) (name JX1_LVDS_13_P)
(node (ref U7) (pin 17))
(node (ref P5) (pin 9))
(node (ref Q1) (pin 48)))
(net (code 41) (name JX1_LVDS_9_N)
(node (ref Q1) (pin 38))
(node (ref U7) (pin 14))
(node (ref P4) (pin 6)))
(net (code 42) (name JX1_LVDS_3_P)
(node (ref P3) (pin 5))
(node (ref U6) (pin 13))
(node (ref Q1) (pin 18)))
(net (code 43) (name VP_0_P)
(node (ref P8) (pin 11))
(node (ref Q1) (pin 97)))
(net (code 44) (name B13_LVDS_0_P)
(node (ref P7) (pin 7))
(node (ref Q1) (pin 87)))
(net (code 45) (name JX1_LVDS_18_P)
(node (ref P6) (pin 7))
(node (ref Q1) (pin 67)))
(net (code 46) (name VIN_57_1)
(node (ref C13) (pin 1))
(node (ref L4) (pin 2))
(node (ref C8) (pin 1))
(node (ref C18) (pin 1))
(node (ref Q1) (pin 59))
(node (ref Q1) (pin 57)))
(net (code 80) (name VCCO_34_79)
(node (ref C132) (pin 1))
(node (ref Q1) (pin 79))
(node (ref L14) (pin 2))
(node (ref C23) (pin 1))
(node (ref C128) (pin 1)))
(net (code 81) (name GND)
(node (ref C133) (pin 2))
(node (ref Q2) (pin 16))
(node (ref Q2) (pin 46))
(node (ref Q2) (pin 66))
(node (ref Q2) (pin 86))
(node (ref C24) (pin 2))
(node (ref C129) (pin 2))
(node (ref Q1) (pin 57))
(node (ref Q1) (pin 59)))
(net (code 47) (name JX1_LVDS_12_P)
(node (ref Q1) (pin 47))
(node (ref P5) (pin 11)))
(net (code 48) (name JX1_LVDS_8_N)
(node (ref U7) (pin 12))
(node (ref P4) (pin 8))
(node (ref Q1) (pin 37)))
(net (code 49) (name JX1_LVDS_2_P)
(node (ref Q1) (pin 17))
(node (ref U6) (pin 11))
(node (ref P3) (pin 7)))
(net (code 50) (name JX1_LVDS_21_N)
(node (ref P6) (pin 2))
(node (ref Q1) (pin 76)))
(net (code 51) (name JX1_LVDS_15_N)
(node (ref Q1) (pin 56))
(node (ref P5) (pin 6)))
(net (code 52) (name JX1_LVDS_9_P)
(node (ref U7) (pin 13))
(node (ref P4) (pin 5))
(node (ref Q1) (pin 36)))
(net (code 53) (name JX1_LVDS_5_N)
(node (ref Q1) (pin 26))
(node (ref P3) (pin 2))
(node (ref U6) (pin 18)))
(net (code 54) (name CARRIER_SRST#)
(node (ref Q1) (pin 6))
(node (ref P2) (pin 9)))
(net (code 55) (name PWR_ENABLE)
(node (ref P8) (pin 4))
(node (ref U2) (pin 1))
(node (ref P8) (pin 3))
(node (ref L8) (pin 1))
(node (ref P2) (pin 5))
(node (ref L7) (pin 1))
(node (ref L6) (pin 1))
(node (ref C28) (pin 1))
(node (ref C27) (pin 1))
(node (ref C46) (pin 1))
(node (ref C45) (pin 1))
(node (ref L13) (pin 2))
(node (ref L5) (pin 1))
(node (ref L4) (pin 1))
(node (ref Q1) (pin 5))
(node (ref C47) (pin 1)))
(net (code 56) (name JTAG_TDI)
(node (ref Q1) (pin 4))
(node (ref P2) (pin 10)))
(net (code 57) (name JTAG_TDO)
(node (ref P2) (pin 6))
(node (ref Q1) (pin 3)))
(net (code 58) (name JTAG_TMS)
(node (ref Q1) (pin 2))
(node (ref P2) (pin 7)))
(net (code 59) (name JTAG_TCK)
(node (ref P2) (pin 8))
(node (ref Q1) (pin 1)))
(net (code 60) (name FPGA_VBATT)
(node (ref Q1) (pin 7))
(node (ref P2) (pin 4)))
(net (code 61) (name JX1_LVDS_6_N)
(node (ref U7) (pin 8))
(node (ref P4) (pin 12))
(node (ref Q1) (pin 31)))
(net (code 62) (name JX1_LVDS_17_P)
(node (ref Q1) (pin 62))
(node (ref U7) (pin 4))
(node (ref P6) (pin 9)))
(net (code 63) (name JX1_LVDS_11_P)
(node (ref P4) (pin 1))
(node (ref Q1) (pin 42)))
(net (code 64) (name JX1_LVDS_7_N)
(node (ref U7) (pin 10))
(node (ref Q1) (pin 32))
(node (ref P4) (pin 10)))
(net (code 65) (name JX1_LVDS_1_P)
(node (ref U6) (pin 9))
(node (ref Q1) (pin 12))
(node (ref P3) (pin 9)))
(net (code 66) (name B13_LVDS_2_P)
(node (ref P7) (pin 3))
(node (ref Q1) (pin 91)))
(net (code 67) (name JX1_LVDS_22_P)
(node (ref P7) (pin 11))
(node (ref Q1) (pin 81)))
(net (code 68) (name JX1_LVDS_16_P)
(node (ref U7) (pin 5))
(node (ref Q1) (pin 61))
(node (ref P6) (pin 11)))
(net (code 69) (name JX1_LVDS_10_P)
(node (ref P4) (pin 3))
(node (ref U7) (pin 15))
(node (ref Q1) (pin 41)))
(net (code 70) (name JX1_LVDS_23_P)
(node (ref Q1) (pin 82))
(node (ref P7) (pin 9)))
(net (code 71) (name JX1_LVDS_0_P)
(node (ref Q1) (pin 11))
(node (ref P3) (pin 11))
(node (ref U6) (pin 7)))
(net (code 72) (name B13_LVDS_1_N)
(node (ref Q1) (pin 90))
(node (ref P7) (pin 6)))
(net (code 73) (name JX1_LVDS_19_N)
(node (ref P6) (pin 6))
(node (ref Q1) (pin 70)))
(net (code 74) (name JX1_LVDS_13_N)
(node (ref Q1) (pin 50))
(node (ref P5) (pin 10))
(node (ref U7) (pin 18)))
(net (code 75) (name JX1_LVDS_7_P)
(node (ref Q1) (pin 30))
(node (ref P4) (pin 9))
(node (ref U7) (pin 9)))
(net (code 76) (name JX1_LVDS_3_N)
(node (ref P3) (pin 6))
(node (ref Q1) (pin 20))
(node (ref U6) (pin 14)))
(net (code 77) (name JX1_SE_1)
(node (ref P2) (pin 11))
(node (ref Q1) (pin 10)))
(net (code 78) (name JX1_SE_0)
(node (ref Q1) (pin 9))
(node (ref P2) (pin 3)))
(net (code 79) (name FPGA_DONE)
(node (ref P2) (pin 12))
(node (ref Q1) (pin 8)))
(net (code 80) (name JX2_LVDS_0_P)
(node (ref Q2) (pin 17)))
(net (code 81) (name B13_LVDS_5_N)
(node (ref Q2) (pin 96)))
(net (code 82) (name JX2_LVDS_19_N)
(node (ref Q2) (pin 76)))
(net (code 83) (name JX2_LVDS_13_N)
(node (ref Q2) (pin 56)))
(net (code 84) (name GND)
(node (ref Q1) (pin 34))
(node (ref Q1) (pin 27))
(node (ref Q1) (pin 33))
(node (ref C122) (pin 2))
(node (ref C120) (pin 2))
(node (ref C80) (pin 2))
(node (ref C82) (pin 2))
(node (ref Q2) (pin 27))
(node (ref C17) (pin 2))
(node (ref Q2) (pin 28))
(node (ref Q2) (pin 77))
(node (ref Q2) (pin 39))
(node (ref Q2) (pin 85))
(node (ref Q1) (pin 51))
(node (ref Q1) (pin 71))
(node (ref Q1) (pin 21))
(node (ref Q1) (pin 40))
(node (ref C115) (pin 2))
(node (ref C124) (pin 2))
(node (ref Q1) (pin 28))
(node (ref Q1) (pin 39))
(node (ref C8) (pin 2))
(node (ref Q1) (pin 27))
(node (ref Q1) (pin 46))
(node (ref P8) (pin 6))
(node (ref P8) (pin 7))
(node (ref C45) (pin 2))
(node (ref C18) (pin 2))
(node (ref C13) (pin 2))
(node (ref P8) (pin 8))
(node (ref Cin2) (pin 2))
(node (ref Cin1) (pin 2))
(node (ref Q1) (pin 22))
(node (ref C125) (pin 2))
(node (ref Q1) (pin 77))
(node (ref C7) (pin 2))
(node (ref Q1) (pin 66))
(node (ref Q1) (pin 86))
(node (ref Q1) (pin 96))
(node (ref C12) (pin 2))
(node (ref C75) (pin 2))
(node (ref C123) (pin 2))
(node (ref C127) (pin 2))
(node (ref Q2) (pin 40))
(node (ref C116) (pin 2))
(node (ref C120) (pin 2))
(node (ref C121) (pin 2))
(node (ref C126) (pin 2))
(node (ref C87) (pin 2))
(node (ref Q1) (pin 85))
(node (ref Q1) (pin 65))
(node (ref Q1) (pin 45))
(node (ref Q1) (pin 15))
(node (ref Q1) (pin 95))
(node (ref C84) (pin 2))
(node (ref C81) (pin 2))
(node (ref C83) (pin 2))
(node (ref C76) (pin 2))
(node (ref C85) (pin 2))
(node (ref C86) (pin 2))
(node (ref C84) (pin 2))
(node (ref C122) (pin 2))
(node (ref Rset1) (pin 2))
(node (ref C30) (pin 1))
(node (ref C87) (pin 2))
(node (ref Q1) (pin 39))
(node (ref C75) (pin 2))
(node (ref Q1) (pin 77))
(node (ref Q1) (pin 28))
(node (ref Q1) (pin 16))
(node (ref C76) (pin 2))
(node (ref C128) (pin 2))
(node (ref C31) (pin 2))
(node (ref C16) (pin 2))
(node (ref Q2) (pin 15))
(node (ref Q2) (pin 45))
(node (ref Q2) (pin 27))
(node (ref Q2) (pin 85))
(node (ref Q2) (pin 16))
(node (ref Q2) (pin 46))
(node (ref Q2) (pin 66))
(node (ref Q2) (pin 86))
(node (ref Q2) (pin 65))
(node (ref C23) (pin 2))
(node (ref C132) (pin 2))
(node (ref C6) (pin 2))
(node (ref C11) (pin 2))
(node (ref C16) (pin 2))
(node (ref Q1) (pin 72))
(node (ref C19) (pin 2))
(node (ref Q1) (pin 71))
(node (ref C14) (pin 2))
(node (ref C9) (pin 2))
(node (ref Q1) (pin 22))
(node (ref Q1) (pin 52))
(node (ref Q1) (pin 34))
(node (ref U3) (pin 5))
(node (ref C6) (pin 2))
(node (ref C39) (pin 2))
(node (ref C47) (pin 2))
(node (ref L11) (pin 1))
(node (ref C33) (pin 2))
(node (ref C23) (pin 2))
(node (ref C131) (pin 2))
(node (ref C34) (pin 1))
(node (ref C32) (pin 1))
(node (ref Cvcc1) (pin 1))
(node (ref Cin3) (pin 2))
(node (ref C29) (pin 2))
(node (ref Q1) (pin 45))
(node (ref C10) (pin 2))
(node (ref Q1) (pin 46))
(node (ref P2) (pin 2))
(node (ref P2) (pin 1))
(node (ref P5) (pin 1))
(node (ref P5) (pin 2))
(node (ref U2) (pin 2))
(node (ref P5) (pin 3))
(node (ref Q1) (pin 65))
(node (ref P5) (pin 4))
(node (ref C28) (pin 2))
(node (ref Q1) (pin 15))
(node (ref C43) (pin 2))
(node (ref C42) (pin 2))
(node (ref RT1) (pin 2))
(node (ref Q1) (pin 95))
(node (ref Q1) (pin 16))
(node (ref U4) (pin 10))
(node (ref Q1) (pin 85))
(node (ref C27) (pin 2))
(node (ref R2) (pin 2))
(node (ref C44) (pin 2))
(node (ref Rfbb1) (pin 2))
(node (ref P2) (pin 1))
(node (ref P2) (pin 2))
(node (ref Cbias1) (pin 2))
(node (ref J2) (pin 2))
(node (ref Cout5) (pin 2))
(node (ref CON1) (pin 2))
(node (ref CON1) (pin 3))
(node (ref P8) (pin 5))
(node (ref C132) (pin 2))
(node (ref J2) (pin 3))
(node (ref J2) (pin 4))
(node (ref J2) (pin 5))
(node (ref U5) (pin 3))
(node (ref Q1) (pin 40))
(node (ref C8) (pin 2))
(node (ref P8) (pin 8))
(node (ref C13) (pin 2))
(node (ref C18) (pin 2))
(node (ref P8) (pin 7))
(node (ref P8) (pin 6))
(node (ref Q1) (pin 21))
(node (ref Q1) (pin 51))
(node (ref C130) (pin 2))
(node (ref C25) (pin 2))
(node (ref C21) (pin 2))
(node (ref Cout6) (pin 2))
(node (ref Q2) (pin 91))
(node (ref C36) (pin 2))
(node (ref Q1) (pin 33))
(node (ref Q2) (pin 65))
(node (ref D4) (pin 2))
(node (ref C37) (pin 2))
(node (ref Q2) (pin 45))
(node (ref Q2) (pin 15))
(node (ref Cout8) (pin 2))
(node (ref C15) (pin 2))
(node (ref Q2) (pin 52))
(node (ref C20) (pin 2))
(node (ref C15) (pin 2))
(node (ref Q2) (pin 22))
(node (ref Cout7) (pin 2))
(node (ref Q2) (pin 21))
(node (ref Q2) (pin 51))
(node (ref Cout1) (pin 2))
(node (ref C46) (pin 2))
(node (ref Cout2) (pin 2))
(node (ref D2) (pin 2))
(node (ref Cout4) (pin 2))
(node (ref Cout3) (pin 2))
(node (ref Q2) (pin 71))
(node (ref C35) (pin 2))
(node (ref Q2) (pin 21))
(node (ref C38) (pin 2))
(node (ref D3) (pin 2))
(node (ref C25) (pin 2))
(node (ref C40) (pin 2))
(node (ref Q2) (pin 34))
(node (ref C130) (pin 2))
(node (ref U4) (pin 15))
(node (ref U4) (pin 16))
(node (ref U4) (pin 17))
(node (ref C14) (pin 2))
(node (ref Cout9) (pin 2))
(node (ref Q1) (pin 72))
(node (ref D1) (pin 2))
(node (ref Cin4) (pin 2))
(node (ref Cin5) (pin 2))
(node (ref Cout10) (pin 2))
(node (ref C9) (pin 2))
(node (ref Q2) (pin 91))
(node (ref Q2) (pin 72))
(node (ref Q2) (pin 92))
(node (ref C126) (pin 2))
(node (ref C121) (pin 2))
(node (ref C123) (pin 2))
(node (ref C116) (pin 2))
(node (ref C125) (pin 2))
(node (ref C127) (pin 2))
(node (ref C24) (pin 2))
(node (ref C17) (pin 2))
(node (ref C12) (pin 2))
(node (ref C7) (pin 2))
(node (ref C129) (pin 2))
(node (ref Q2) (pin 39))
(node (ref Q2) (pin 34))
(node (ref Q2) (pin 33))
(node (ref Q2) (pin 52))
(node (ref C124) (pin 2))
(node (ref C115) (pin 2))
(node (ref Q1) (pin 86))
(node (ref Q1) (pin 66))
(node (ref C22) (pin 2))
(node (ref Q2) (pin 40))
(node (ref C26) (pin 2))
(node (ref Q2) (pin 72))
(node (ref C10) (pin 2))
(node (ref C19) (pin 2)))
(net (code 82) (name JX1_LVDS_11_N)
(node (ref Q1) (pin 44))
(node (ref P4) (pin 2)))
(net (code 83) (name JX1_LVDS_17_N)
(node (ref Q1) (pin 64))
(node (ref P6) (pin 10)))
(net (code 84) (name JX1_LVDS_21_P)
(node (ref P6) (pin 1))
(node (ref Q1) (pin 74)))
(net (code 85) (name JX1_LVDS_23_N)
(node (ref Q1) (pin 84))
(node (ref P7) (pin 4)))
(net (code 86) (name JX1_LVDS_8_P)
(node (ref U7) (pin 11))
(node (ref Q1) (pin 35))
(node (ref P4) (pin 7)))
(net (code 87) (name JX1_LVDS_20_N)
(node (ref P6) (pin 4))
(node (ref Q1) (pin 75)))
(net (code 88) (name JX1_LVDS_7_N)
(node (ref Q1) (pin 32))
(node (ref U7) (pin 10))
(node (ref P4) (pin 10)))
(net (code 89) (name JX1_LVDS_11_P)
(node (ref Q1) (pin 42))
(node (ref P4) (pin 1)))
(net (code 90) (name JX1_LVDS_23_P)
(node (ref P7) (pin 3))
(node (ref Q1) (pin 82)))
(net (code 91) (name JX1_LVDS_10_N)
(node (ref Q1) (pin 43))
(node (ref U7) (pin 16))
(node (ref P4) (pin 4)))
(net (code 92) (name JX1_LVDS_20_P)
(node (ref Q1) (pin 73))
(node (ref P6) (pin 3)))
(net (code 93) (name JX1_LVDS_22_N)
(node (ref P7) (pin 2))
(node (ref Q1) (pin 83)))
(net (code 94) (name JX1_LVDS_9_N)
(node (ref P4) (pin 6))
(node (ref U7) (pin 14))
(node (ref Q1) (pin 38)))
(net (code 95) (name JX1_LVDS_13_P)
(node (ref Q1) (pin 48))
(node (ref U7) (pin 17))
(node (ref P5) (pin 9)))
(net (code 96) (name JX1_LVDS_19_P)
(node (ref Q1) (pin 68))
(node (ref P6) (pin 5)))
(net (code 97) (name B13_LVDS_1_P)
(node (ref P7) (pin 7))
(node (ref Q1) (pin 88)))
(net (code 98) (name JX1_LVDS_12_N)
(node (ref P5) (pin 12))
(node (ref Q1) (pin 49)))
(net (code 99) (name JX1_LVDS_18_N)
(node (ref Q1) (pin 69))
(node (ref P6) (pin 8)))
(net (code 100) (name B13_LVDS_0_N)
(node (ref P7) (pin 6))
(node (ref Q1) (pin 89)))
(net (code 101) (name JX1_LVDS_9_P)
(node (ref Q1) (pin 36))
(node (ref U7) (pin 13))
(node (ref P4) (pin 5)))
(net (code 102) (name JX1_LVDS_15_N)
(node (ref Q1) (pin 56))
(node (ref P5) (pin 6)))
(net (code 103) (name JX1_LVDS_21_N)
(node (ref P6) (pin 2))
(node (ref Q1) (pin 76)))
(net (code 104) (name JX1_LVDS_8_N)
(node (ref Q1) (pin 37))
(node (ref U7) (pin 12))
(node (ref P4) (pin 8)))
(net (code 105) (name JX1_LVDS_12_P)
(node (ref Q1) (pin 47))
(node (ref P5) (pin 11)))
(net (code 106) (name JX1_LVDS_18_P)
(node (ref Q1) (pin 67))
(node (ref P6) (pin 7)))
(net (code 107) (name B13_LVDS_0_P)
(node (ref P7) (pin 5))
(node (ref Q1) (pin 87)))
(net (code 108) (name JX2_LVDS_22_P)
(node (ref Q2) (pin 87)))
(net (code 109) (name B13_LVDS_6_P)
(node (ref Q2) (pin 97)))
(net (code 110) (name JX2_LVDS_11_P)
(node (ref Q2) (pin 48)))
(net (code 111) (name JX2_LVDS_17_P)
(node (ref Q2) (pin 68)))
(net (code 112) (name JX2_LVDS_23_P)
(node (ref Q2) (pin 88)))
(net (code 113) (name JX2_LVDS_10_N)
(node (ref Q2) (pin 49)))
(net (code 114) (name JX2_LVDS_16_N)
(node (ref Q2) (pin 69)))
(net (code 115) (name JX2_LVDS_19_N)
(node (ref Q2) (pin 76)))
(net (code 116) (name B13_LVDS_4_N)
(node (ref C131) (pin 2))
(node (ref Q2) (pin 77))
(node (ref Q2) (pin 28))
(node (ref C133) (pin 2))
(node (ref J2) (pin 4))
(node (ref U5) (pin 3))
(node (ref J2) (pin 5))
(node (ref J2) (pin 3))
(node (ref J2) (pin 2))
(node (ref CON1) (pin 3))
(node (ref CON1) (pin 2))
(node (ref C28) (pin 2))
(node (ref L11) (pin 1))
(node (ref Cin3) (pin 2))
(node (ref C32) (pin 1))
(node (ref C34) (pin 1))
(node (ref C31) (pin 2))
(node (ref C30) (pin 1))
(node (ref Rset1) (pin 2))
(node (ref D1) (pin 2))
(node (ref C39) (pin 2))
(node (ref U3) (pin 5))
(node (ref U4) (pin 10))
(node (ref C43) (pin 2))
(node (ref Cout5) (pin 2))
(node (ref RT1) (pin 2))
(node (ref R2) (pin 2))
(node (ref C27) (pin 2))
(node (ref U2) (pin 2))
(node (ref C29) (pin 2))
(node (ref C33) (pin 2))
(node (ref C42) (pin 2))
(node (ref C38) (pin 2))
(node (ref C45) (pin 2))
(node (ref D2) (pin 2))
(node (ref C35) (pin 2))
(node (ref Cout3) (pin 2))
(node (ref Cout4) (pin 2))
(node (ref Cout2) (pin 2))
(node (ref C46) (pin 2))
(node (ref U4) (pin 17))
(node (ref U4) (pin 16))
(node (ref U4) (pin 15))
(node (ref D4) (pin 2))
(node (ref Cin2) (pin 2))
(node (ref Cin1) (pin 2))
(node (ref D3) (pin 2))
(node (ref Cout9) (pin 2))
(node (ref Cout7) (pin 2))
(node (ref Cout10) (pin 2))
(node (ref Cin5) (pin 2))
(node (ref Cin4) (pin 2))
(node (ref Cout1) (pin 2))
(node (ref C40) (pin 2))
(node (ref C37) (pin 2))
(node (ref C36) (pin 2))
(node (ref Cout6) (pin 2))
(node (ref Cout8) (pin 2))
(node (ref Rfbb1) (pin 2))
(node (ref Cvcc1) (pin 1))
(node (ref C44) (pin 2))
(node (ref Cbias1) (pin 2))
(node (ref C47) (pin 2)))
(net (code 85) (name JX2_LVDS_7_P)
(node (ref Q2) (pin 36)))
(net (code 86) (name JX2_LVDS_3_N)
(node (ref Q2) (pin 26)))
(net (code 87) (name B13_LVDS_4_N)
(node (ref Q2) (pin 95)))
(net (code 117) (name JX2_LVDS_13_N)
(node (ref Q2) (pin 56)))
(net (code 118) (name VCCO_35_79)
(net (code 88) (name JX2_LVDS_18_N)
(node (ref Q2) (pin 75)))
(net (code 89) (name JX2_LVDS_12_N)
(node (ref Q2) (pin 55)))
(net (code 90) (name JX2_LVDS_6_P)
(node (ref Q2) (pin 35)))
(net (code 91) (name JX2_LVDS_2_N)
(node (ref Q2) (pin 25)))
(net (code 92) (name B13_LVDS_5_P)
(node (ref Q2) (pin 94)))
(net (code 93) (name JX2_LVDS_21_N)
(node (ref Q2) (pin 84)))
(net (code 94) (name JX2_LVDS_19_P)
(node (ref Q2) (pin 74)))
(net (code 95) (name JX2_LVDS_15_N)
(node (ref Q2) (pin 64)))
(net (code 96) (name JX2_LVDS_13_P)
(node (ref Q2) (pin 54)))
(net (code 97) (name JX2_LVDS_9_N)
(node (ref Q2) (pin 44)))
(net (code 98) (name JX2_LVDS_3_P)
(node (ref Q2) (pin 24)))
(net (code 99) (name VCCO_35_78)
(node (ref Q2) (pin 78))
(node (ref C12) (pin 1))
(node (ref L3) (pin 2))
(node (ref C7) (pin 1))
(node (ref Q2) (pin 80))
(node (ref C17) (pin 1)))
(net (code 100) (name B13_SE_0)
(node (ref Q2) (pin 100)))
(net (code 101) (name B13_LVDS_6_N)
(node (ref Q2) (pin 99)))
(net (code 102) (name JX2_LVDS_22_N)
(node (ref Q2) (pin 89)))
(net (code 103) (name VCCO_35_79)
(node (ref Q2) (pin 79))
(node (ref C133) (pin 1))
(node (ref C129) (pin 1))
(node (ref C24) (pin 1))
(node (ref L15) (pin 2))
(node (ref Q2) (pin 79)))
(net (code 119) (name B13_LVDS_5_N)
(node (ref Q2) (pin 96)))
(net (code 120) (name JX2_LVDS_10_P)
(node (ref Q2) (pin 47)))
(net (code 121) (name JX2_LVDS_16_P)
(node (ref Q2) (pin 67)))
(net (code 122) (name JX1_LVDS_13_N)
(node (ref Q1) (pin 50))
(node (ref U7) (pin 18))
(node (ref P5) (pin 10)))
(net (code 123) (name JX1_LVDS_19_N)
(node (ref P6) (pin 6))
(node (ref Q1) (pin 70)))
(net (code 124) (name B13_LVDS_1_N)
(node (ref Q1) (pin 90))
(node (ref P7) (pin 8)))
(net (code 125) (name JX1_LVDS_6_N)
(node (ref P4) (pin 12))
(node (ref U7) (pin 8))
(node (ref Q1) (pin 31)))
(net (code 126) (name JX1_LVDS_10_P)
(node (ref Q1) (pin 41))
(node (ref U7) (pin 15))
(node (ref P4) (pin 3)))
(net (code 127) (name JX1_LVDS_22_P)
(node (ref P7) (pin 1))
(node (ref Q1) (pin 81)))
(net (code 128) (name JX2_LVDS_22_N)
(node (ref Q2) (pin 89)))
(net (code 129) (name B13_LVDS_6_N)
(node (ref Q2) (pin 99)))
(net (code 130) (name JX2_LVDS_18_N)
(node (ref Q2) (pin 75)))
(net (code 131) (name JX1_LVDS_5_P)
(node (ref Q1) (pin 24))
(node (ref U6) (pin 17))
(node (ref P3) (pin 1)))
(net (code 132) (name JX1_LVDS_1_P)
(node (ref U6) (pin 9))
(node (ref P3) (pin 9))
(node (ref Q1) (pin 12)))
(net (code 133) (name JX1_LVDS_1_N)
(node (ref P3) (pin 10))
(node (ref U6) (pin 10))
(node (ref Q1) (pin 14)))
(net (code 134) (name JX1_LVDS_2_P)
(node (ref Q1) (pin 17))
(node (ref U6) (pin 11))
(node (ref P3) (pin 7)))
(net (code 135) (name JX1_LVDS_2_N)
(node (ref P3) (pin 8))
(node (ref Q1) (pin 19))
(node (ref U6) (pin 12)))
(net (code 136) (name JX1_LVDS_3_P)
(node (ref Q1) (pin 18))
(node (ref P3) (pin 5))
(node (ref U6) (pin 13)))
(net (code 137) (name JX1_LVDS_3_N)
(node (ref P3) (pin 6))
(node (ref Q1) (pin 20))
(node (ref U6) (pin 14)))
(net (code 138) (name JX1_LVDS_4_P)
(node (ref Q1) (pin 23))
(node (ref U6) (pin 15))
(node (ref P3) (pin 3)))
(net (code 139) (name JX1_LVDS_4_N)
(node (ref U6) (pin 16))
(node (ref P3) (pin 4))
(node (ref Q1) (pin 25)))
(net (code 140) (name JX1_LVDS_0_N)
(node (ref Q1) (pin 13))
(node (ref P3) (pin 12))
(node (ref U6) (pin 8)))
(net (code 141) (name JX1_LVDS_5_N)
(node (ref Q1) (pin 26))
(node (ref U6) (pin 18))
(node (ref P3) (pin 2)))
(net (code 142) (name JX1_LVDS_0_P)
(node (ref Q1) (pin 11))
(node (ref P3) (pin 11))
(node (ref U6) (pin 7)))
(net (code 143) (name FPGA_VBATT)
(node (ref Q1) (pin 7))
(node (ref P2) (pin 9)))
(net (code 144) (name VIN_12_2)
(node (ref C20) (pin 1))
(node (ref Q2) (pin 12))
(node (ref L6) (pin 2))
(node (ref C10) (pin 1))
(node (ref C15) (pin 1)))
(net (code 145) (name VCCIO_EN)
(node (ref Q2) (pin 10))
(node (ref U5) (pin 7)))
(net (code 146) (name PWR_ENABLE)
(node (ref C27) (pin 1))
(node (ref L8) (pin 1))
(node (ref L5) (pin 1))
(node (ref C46) (pin 1))
(node (ref C28) (pin 1))
(node (ref L13) (pin 2))
(node (ref U2) (pin 1))
(node (ref L4) (pin 1))
(node (ref L6) (pin 1))
(node (ref P2) (pin 7))
(node (ref C45) (pin 1))
(node (ref L7) (pin 1))
(node (ref P8) (pin 3))
(node (ref P8) (pin 4))
(node (ref Q1) (pin 5))
(node (ref C47) (pin 1)))
(net (code 147) (name JTAG_TCK)
(node (ref Q1) (pin 1))
(node (ref P2) (pin 3)))
(net (code 148) (name JTAG_TDO)
(node (ref P2) (pin 5))
(node (ref Q1) (pin 3)))
(net (code 149) (name JTAG_TMS)
(node (ref Q1) (pin 2))
(node (ref P2) (pin 4)))
(net (code 150) (name JTAG_TDI)
(node (ref P2) (pin 6))
(node (ref Q1) (pin 4)))
(net (code 151) (name CARRIER_SRST#)
(node (ref P2) (pin 8))
(node (ref Q1) (pin 6)))
(net (code 152) (name SDIO_1)
(node (ref Q1) (pin 54))
(node (ref P5) (pin 7))
(node (ref U6) (pin 4)))
(net (code 153) (name FPGA_DONE)
(node (ref Q1) (pin 8))
(node (ref P2) (pin 10)))
(net (code 154) (name JX1_LVDS_7_P)
(node (ref Q1) (pin 30))
(node (ref U7) (pin 9))
(node (ref P4) (pin 9)))
(net (code 155) (name JX1_LVDS_6_P)
(node (ref L15) (pin 2)))
(net (code 104) (name JX2_LVDS_16_N)
(node (ref Q2) (pin 69)))
(net (code 105) (name JX2_LVDS_10_N)
(node (ref Q2) (pin 49)))
(net (code 106) (name JX2_LVDS_4_P)
(node (ref Q2) (pin 29)))
(net (code 107) (name JX2_LVDS_0_N)
(node (ref Q2) (pin 19)))
(net (code 108) (name VCCO_13)
(node (ref Q2) (pin 98)))
(net (code 109) (name JX2_LVDS_23_P)
(node (ref Q2) (pin 88)))
(net (code 110) (name JX2_SE_1)
(node (ref Q2) (pin 14)))
(net (code 111) (name JX2_LVDS_17_P)
(node (ref Q2) (pin 68)))
(net (code 112) (name VIN_58_2)
(node (ref Q2) (pin 60))
(node (ref C26) (pin 1))
(node (ref C22) (pin 1))
(node (ref L8) (pin 2))
(node (ref Q2) (pin 58))
(node (ref C131) (pin 1)))
(net (code 113) (name JX2_LVDS_11_P)
(node (ref Q2) (pin 48)))
(net (code 114) (name JX2_LVDS_7_N)
(node (ref Q2) (pin 38)))
(net (code 115) (name JX2_LVDS_1_P)
(node (ref Q2) (pin 18)))
(net (code 116) (name B13_LVDS_6_P)
(node (ref Q2) (pin 97)))
(net (code 117) (name JX2_LVDS_22_P)
(node (ref Q2) (pin 87)))
(net (code 118) (name JX2_LVDS_16_P)
(node (ref Q2) (pin 67)))
(net (code 119) (name VIN_57_2)
(node (ref C21) (pin 1))
(node (ref Q2) (pin 57))
(node (ref C25) (pin 1))
(node (ref C130) (pin 1))
(node (ref Q2) (pin 59))
(node (ref L7) (pin 2)))
(net (code 120) (name JX2_LVDS_10_P)
(node (ref Q2) (pin 47)))
(net (code 121) (name JX2_LVDS_6_N)
(node (ref Q2) (pin 37)))
(net (code 122) (name "Net-(Q2-Pad5)")
(node (ref Q2) (pin 5)))
(net (code 123) (name JX2_LVDS_23_N)
(node (ref Q2) (pin 90)))
(net (code 124) (name JX2_LVDS_17_N)
(node (ref Q2) (pin 70)))
(net (code 125) (name JX2_LVDS_11_N)
(node (ref Q2) (pin 50)))
(net (code 126) (name JX2_LVDS_5_P)
(node (ref Q2) (pin 30)))
(net (code 127) (name JX2_LVDS_1_N)
(node (ref Q2) (pin 20)))
(net (code 128) (name VCCIO_EN)
(node (ref Q2) (pin 10))
(node (ref U5) (pin 7)))
(net (code 129) (name INIT#)
(node (ref Q2) (pin 9)))
(net (code 130) (name "Net-(Q2-Pad8)")
(node (ref Q2) (pin 8)))
(net (code 131) (name "Net-(Q2-Pad7)")
(node (ref Q2) (pin 7)))
(net (code 132) (name "Net-(Q2-Pad6)")
(node (ref Q2) (pin 6)))
(net (code 133) (name PG_MODULE)
(node (ref Q2) (pin 11)))
(net (code 134) (name "Net-(Q2-Pad4)")
(node (ref Q2) (pin 4)))
(net (code 135) (name "Net-(Q2-Pad3)")
(node (ref Q2) (pin 3)))
(net (code 136) (name "Net-(Q2-Pad2)")
(node (ref Q2) (pin 2)))
(net (code 137) (name "Net-(Q2-Pad1)")
(node (ref Q2) (pin 1)))
(net (code 138) (name DXN_0_N)
(node (ref Q1) (pin 100))
(node (ref P8) (pin 10)))
(net (code 139) (name VN_0_N)
(node (ref Q1) (pin 99))
(node (ref P8) (pin 12)))
(net (code 140) (name B13_LVDS_0_N)
(node (ref Q1) (pin 89))
(node (ref P7) (pin 8)))
(net (code 141) (name VCCO_34_79)
(node (ref C128) (pin 1))
(node (ref L14) (pin 2))
(node (ref Q1) (pin 79))
(node (ref C23) (pin 1))
(node (ref C132) (pin 1)))
(net (code 142) (name JX1_LVDS_18_N)
(node (ref P6) (pin 8))
(node (ref Q1) (pin 69)))
(net (code 143) (name JX1_LVDS_12_N)
(node (ref Q1) (pin 49))
(node (ref P5) (pin 12)))
(net (code 144) (name B13_LVDS_4_P)
(node (ref Q2) (pin 93)))
(net (code 145) (name JX2_LVDS_20_N)
(node (ref Q2) (pin 83)))
(net (code 146) (name JX2_LVDS_18_P)
(node (ref Q2) (pin 73)))
(net (code 147) (name JX2_LVDS_14_N)
(node (ref Q2) (pin 63)))
(net (code 148) (name JX2_LVDS_12_P)
(node (ref Q2) (pin 53)))
(net (code 149) (name JX2_LVDS_8_N)
(node (ref Q2) (pin 43)))
(net (code 150) (name JX2_LVDS_2_P)
(node (ref Q2) (pin 23)))
(net (code 151) (name JX2_SE_0)
(node (ref Q2) (pin 13)))
(net (code 152) (name JX2_LVDS_21_P)
(node (ref Q2) (pin 82)))
(net (code 153) (name JX2_LVDS_15_P)
(node (ref Q2) (pin 62)))
(net (code 154) (name JX1_LVDS_6_P)
(node (ref Q1) (pin 29))
(node (ref U7) (pin 7))
(node (ref P4) (pin 11)))
(net (code 156) (name SCLK_1)
(node (ref U6) (pin 5))
(node (ref Q1) (pin 53))
(node (ref P5) (pin 5)))
(net (code 157) (name CSB_1)
(node (ref U6) (pin 20))
(node (ref Q1) (pin 55))
(node (ref P5) (pin 8)))
(net (code 158) (name SDIO_2)
(node (ref U7) (pin 4))
(node (ref P6) (pin 11))
(node (ref Q1) (pin 62)))
(net (code 159) (name SCLK_2)
(node (ref U7) (pin 5))
(node (ref Q1) (pin 61))
(node (ref P6) (pin 9)))
(net (code 160) (name CSB_2)
(node (ref U7) (pin 20))
(node (ref Q1) (pin 63))
(node (ref P6) (pin 12)))
(net (code 161) (name "Net-(Cboot1-Pad2)")
(node (ref Cboot1) (pin 2))
(node (ref U4) (pin 3)))
(net (code 162) (name "Net-(C39-Pad1)")
(node (ref U3) (pin 7))
(net (code 155) (name JX2_LVDS_9_P)
(node (ref Q2) (pin 42)))
(net (code 156) (name JX2_LVDS_5_N)
(node (ref Q2) (pin 32)))
(net (code 157) (name VIN_12_2)
(node (ref L6) (pin 2))
(node (ref C10) (pin 1))
(node (ref C15) (pin 1))
(node (ref C20) (pin 1))
(node (ref Q2) (pin 12)))
(net (code 158) (name JX2_LVDS_20_P)
(node (ref Q2) (pin 81)))
(net (code 159) (name JX2_LVDS_14_P)
(node (ref Q2) (pin 61)))
(net (code 160) (name JX2_LVDS_8_P)
(node (ref Q2) (pin 41)))
(net (code 161) (name JX2_LVDS_4_N)
(node (ref Q2) (pin 31)))
(net (code 162) (name "Net-(Cboot1-Pad2)")
(node (ref U4) (pin 3))
(node (ref Cboot1) (pin 2)))
(net (code 163) (name /Power_Supplies/InputCap)
(node (ref Cin4) (pin 1))
(node (ref U4) (pin 14))
(node (ref Cin1) (pin 1))
(node (ref Cin2) (pin 1))
(node (ref C36) (pin 1))
(node (ref L10) (pin 2))
(node (ref C37) (pin 1))
(node (ref C40) (pin 1))
(node (ref C38) (pin 1))
(node (ref U4) (pin 12))
(node (ref U4) (pin 13))
(node (ref Cin3) (pin 1))
(node (ref Cin5) (pin 1)))
(net (code 164) (name "Net-(C39-Pad1)")
(node (ref Rset1) (pin 1))
(node (ref C39) (pin 1)))
(net (code 163) (name "Net-(C43-Pad1)")
(node (ref C39) (pin 1))
(node (ref U3) (pin 7)))
(net (code 165) (name "Net-(C43-Pad1)")
(node (ref C43) (pin 1))
(node (ref U5) (pin 6)))
(net (code 164) (name "Net-(Cff1-Pad2)")
(node (ref U4) (pin 9))
(net (code 166) (name "Net-(Cff1-Pad2)")
(node (ref Cff1) (pin 2))
(node (ref Rfbb1) (pin 1))
(node (ref Rfbt1) (pin 2))
(node (ref Cff1) (pin 2)))
(net (code 165) (name "Net-(RT1-Pad1)")
(node (ref RT1) (pin 1))
(node (ref U4) (pin 7)))
(net (code 166) (name "Net-(J2-Pad1)")
(node (ref U4) (pin 9)))
(net (code 167) (name "Net-(RT1-Pad1)")
(node (ref U4) (pin 7))
(node (ref RT1) (pin 1)))
(net (code 168) (name "Net-(J2-Pad1)")
(node (ref U4) (pin 6))
(node (ref J2) (pin 1))
(node (ref R2) (pin 1)))
(net (code 167) (name "Net-(Cvcc1-Pad2)")
(node (ref R2) (pin 1))
(node (ref J2) (pin 1)))
(net (code 169) (name "Net-(Cvcc1-Pad2)")
(node (ref U4) (pin 4))
(node (ref Cvcc1) (pin 2)))
(net (code 168) (name "Net-(D5-Pad1)")
(node (ref D7) (pin 1))
(node (ref D9) (pin 1))
(net (code 170) (name "Net-(D5-Pad1)")
(node (ref D5) (pin 1))
(node (ref L9) (pin 1))
(node (ref D6) (pin 1))
(node (ref D8) (pin 1)))
(net (code 169) (name "Net-(D2-Pad1)")
(node (ref D2) (pin 1))
(node (ref D7) (pin 1))
(node (ref D8) (pin 1))
(node (ref L9) (pin 1))
(node (ref D9) (pin 1)))
(net (code 171) (name "Net-(D2-Pad1)")
(node (ref D6) (pin 2))
(node (ref P1) (pin 3))
(node (ref D6) (pin 2)))
(net (code 170) (name /Power_Supplies/InputCap)
(node (ref Cin1) (pin 1))
(node (ref Cin2) (pin 1))
(node (ref L10) (pin 2))
(node (ref C36) (pin 1))
(node (ref U4) (pin 14))
(node (ref U4) (pin 13))
(node (ref C38) (pin 1))
(node (ref C40) (pin 1))
(node (ref C37) (pin 1))
(node (ref Cin5) (pin 1))
(node (ref Cin4) (pin 1))
(node (ref Cin3) (pin 1))
(node (ref U4) (pin 12)))
(net (code 171) (name "Net-(D4-Pad1)")
(node (ref D8) (pin 2))
(node (ref D2) (pin 1)))
(net (code 172) (name "Net-(D4-Pad1)")
(node (ref P1) (pin 1))
(node (ref D4) (pin 1)))
(net (code 172) (name "Net-(D1-Pad1)")
(node (ref D4) (pin 1))
(node (ref D8) (pin 2)))
(net (code 173) (name "Net-(D1-Pad1)")
(node (ref D1) (pin 1))
(node (ref D5) (pin 2))
(node (ref P1) (pin 4)))
(net (code 173) (name "Net-(D3-Pad1)")
(node (ref P1) (pin 2))
(net (code 174) (name "Net-(D3-Pad1)")
(node (ref D7) (pin 2))
(node (ref P1) (pin 2))
(node (ref D3) (pin 1)))
(net (code 174) (name "Net-(C30-Pad2)")
(net (code 175) (name "Net-(C30-Pad2)")
(node (ref C31) (pin 1))
(node (ref C35) (pin 1))
(node (ref L9) (pin 2))
(node (ref C33) (pin 1))
(node (ref C30) (pin 2))
(node (ref C32) (pin 2))
(node (ref C34) (pin 2))
(node (ref L10) (pin 1)))
(net (code 175) (name "Net-(Cboot1-Pad1)")
(node (ref C32) (pin 2))
(node (ref C30) (pin 2))
(node (ref L10) (pin 1))
(node (ref C33) (pin 1))
(node (ref L9) (pin 2))
(node (ref C35) (pin 1)))
(net (code 176) (name "Net-(Cboot1-Pad1)")
(node (ref Cboot1) (pin 1))
(node (ref L12) (pin 1))
(node (ref U4) (pin 1))
(node (ref U4) (pin 2)))
(net (code 176) (name "Net-(Cbias1-Pad1)")
(node (ref Cout10) (pin 1))
(node (ref Cout2) (pin 1))
(net (code 177) (name "Net-(Cbias1-Pad1)")
(node (ref Cout3) (pin 1))
(node (ref Cout4) (pin 1))
(node (ref Cout10) (pin 1))
(node (ref Rfbt1) (pin 1))
(node (ref Cout2) (pin 1))
(node (ref Cout1) (pin 1))
(node (ref L12) (pin 2))
(node (ref Cout6) (pin 1))
(node (ref Cout9) (pin 1))
(node (ref L13) (pin 1))
(node (ref Cout8) (pin 1))
(node (ref Cout7) (pin 1))
(node (ref Cff1) (pin 1))
(node (ref Rfbt1) (pin 1))
(node (ref U4) (pin 5))
(node (ref Cout8) (pin 1))
(node (ref Cout7) (pin 1))
(node (ref Cout9) (pin 1))
(node (ref L12) (pin 2))
(node (ref Cbias1) (pin 1))
(node (ref Cout5) (pin 1)))
(net (code 177) (name D1P8V)
(node (ref U6) (pin 19))
(node (ref C87) (pin 1))
(node (ref U6) (pin 6))
(node (ref C127) (pin 1))
(node (ref C75) (pin 1))
(node (ref C120) (pin 1))
(node (ref P8) (pin 2))
(node (ref P8) (pin 1))
(node (ref C122) (pin 1))
(node (ref L3) (pin 1))
(node (ref Cout5) (pin 1))
(node (ref Cout6) (pin 1)))
(net (code 178) (name "Net-(U5-Pad5)")
(node (ref U5) (pin 5)))
(net (code 179) (name D1P8V)
(node (ref U7) (pin 6))
(node (ref C115) (pin 1))
(node (ref L14) (pin 1))
(node (ref C121) (pin 1))
(node (ref C124) (pin 1))
(node (ref C44) (pin 1))
(node (ref R3) (pin 1))
(node (ref U5) (pin 1))
(node (ref U5) (pin 4))
(node (ref P8) (pin 1))
(node (ref P8) (pin 2))
(node (ref L2) (pin 1))
(node (ref L14) (pin 1))
(node (ref L3) (pin 1))
(node (ref L15) (pin 1))
(node (ref C122) (pin 1))
(node (ref C120) (pin 1))
(node (ref U6) (pin 19))
(node (ref C76) (pin 1))
(node (ref C83) (pin 1))
(node (ref C75) (pin 1))
(node (ref C87) (pin 1))
(node (ref C86) (pin 1))
(node (ref L15) (pin 1))
(node (ref C85) (pin 1))
(node (ref U7) (pin 19))
(node (ref C83) (pin 1))
(node (ref C81) (pin 1))
(node (ref C123) (pin 1))
(node (ref C44) (pin 1))
(node (ref R3) (pin 1))
(node (ref C80) (pin 1))
(node (ref C82) (pin 1))
(node (ref U5) (pin 1))
(node (ref U5) (pin 4))
(node (ref C121) (pin 1))
(node (ref C116) (pin 1))
(node (ref C125) (pin 1))
(node (ref U7) (pin 6))
(node (ref C126) (pin 1))
(node (ref C85) (pin 1))
(node (ref C127) (pin 1))
(node (ref C84) (pin 1))
(node (ref C124) (pin 1))
(node (ref C81) (pin 1)))
(net (code 178) (name "Net-(U5-Pad5)")
(node (ref U5) (pin 5)))
(net (code 179) (name /Power_Supplies/powerGood)
(node (ref C82) (pin 1))
(node (ref C80) (pin 1))
(node (ref U6) (pin 6)))
(net (code 180) (name /Power_Supplies/powerGood)
(node (ref R3) (pin 2))
(node (ref U5) (pin 8))
(node (ref U3) (pin 3)))
(net (code 180) (name "Net-(U4-Pad8)")
(node (ref U3) (pin 3))
(node (ref U5) (pin 8)))
(net (code 181) (name "Net-(U4-Pad8)")
(node (ref U4) (pin 8)))
(net (code 181) (name "Net-(U4-Pad11)")
(net (code 182) (name "Net-(U4-Pad11)")
(node (ref U4) (pin 11)))
(net (code 182) (name "Net-(CON1-Pad1)")
(net (code 183) (name "Net-(CON1-Pad1)")
(node (ref D9) (pin 2))
(node (ref CON1) (pin 1)))
(net (code 183) (name "Net-(U3-Pad4)")
(net (code 184) (name "Net-(U3-Pad4)")
(node (ref U3) (pin 4)))
(net (code 184) (name "Net-(C49-Pad1)")
(net (code 185) (name "Net-(C49-Pad1)")
(node (ref T2) (pin 2))
(node (ref R10) (pin 2))
(node (ref C49) (pin 1))
(node (ref T2) (pin 2)))
(net (code 185) (name "Net-(J4-Pad1)")
(node (ref J4) (pin 1))
(node (ref C49) (pin 1)))
(net (code 186) (name "Net-(J4-Pad1)")
(node (ref T2) (pin 4))
(node (ref R5) (pin 1))
(node (ref T2) (pin 4)))
(net (code 186) (name "Net-(C48-Pad1)")
(node (ref T1) (pin 2))
(node (ref J4) (pin 1)))
(net (code 187) (name "Net-(C48-Pad1)")
(node (ref C48) (pin 1))
(node (ref R9) (pin 2))
(node (ref C48) (pin 1)))
(net (code 187) (name "Net-(R15-Pad2)")
(node (ref R15) (pin 2))
(node (ref U6) (pin 23)))
(net (code 188) (name "Net-(C52-Pad1)")
(node (ref T1) (pin 2)))
(net (code 188) (name "Net-(R15-Pad2)")
(node (ref U6) (pin 23))
(node (ref R15) (pin 2)))
(net (code 189) (name "Net-(C52-Pad1)")
(node (ref R9) (pin 1))
(node (ref R10) (pin 1))
(node (ref U6) (pin 22))
(node (ref C52) (pin 1))
(node (ref C53) (pin 1))
(node (ref C52) (pin 1)))
(net (code 189) (name /ADC1-Rev4/CLK_P)
(node (ref U6) (pin 22)))
(net (code 190) (name /ADC1-Rev4/CLK_P)
(node (ref U6) (pin 2))
(node (ref C50) (pin 1)))
(net (code 190) (name "Net-(R6-Pad1)")
(node (ref R7) (pin 2))
(net (code 191) (name "Net-(R6-Pad1)")
(node (ref R6) (pin 1))
(node (ref R8) (pin 1))
(node (ref R6) (pin 1)))
(net (code 191) (name /ADC1-Rev4/VinA-)
(node (ref R7) (pin 2)))
(net (code 192) (name /ADC1-Rev4/VinA-)
(node (ref U6) (pin 26))
(node (ref C54) (pin 2))
(node (ref R12) (pin 1))
(node (ref U6) (pin 26)))
(net (code 192) (name /ADC1-Rev4/VinB+)
(node (ref C55) (pin 1))
(node (ref R12) (pin 1)))
(net (code 193) (name /ADC1-Rev4/VinB+)
(node (ref U6) (pin 30))
(node (ref R13) (pin 1)))
(net (code 193) (name /ADC1-Rev4/VinB-)
(node (ref R13) (pin 1))
(node (ref C55) (pin 1)))
(net (code 194) (name /ADC1-Rev4/VinB-)
(node (ref R14) (pin 1))
(node (ref C55) (pin 2))
(node (ref U6) (pin 31)))
(net (code 194) (name /ADC1-Rev4/VinA+)
(net (code 195) (name /ADC1-Rev4/VinA+)
(node (ref U6) (pin 27))
(node (ref R11) (pin 1))
(node (ref C54) (pin 1)))
(net (code 195) (name /ADC1-Rev4/InA-)
(node (ref T1) (pin 3))
(net (code 196) (name /ADC1-Rev4/InA-)
(node (ref R12) (pin 2))
(node (ref J7) (pin 1))
(node (ref R12) (pin 2)))
(net (code 196) (name /ADC1-Rev4/InA+)
(node (ref T1) (pin 1))
(node (ref T1) (pin 3)))
(net (code 197) (name /ADC1-Rev4/InA+)
(node (ref J5) (pin 1))
(node (ref R11) (pin 2)))
(net (code 197) (name /ADC1-Rev4/InB-)
(node (ref R11) (pin 2))
(node (ref T1) (pin 1)))
(net (code 198) (name /ADC1-Rev4/InB-)
(node (ref R14) (pin 2))
(node (ref J8) (pin 1))
(node (ref T2) (pin 1)))
(net (code 198) (name "Net-(C56-Pad1)")
(node (ref U6) (pin 21))
(node (ref T2) (pin 1))
(node (ref J8) (pin 1)))
(net (code 199) (name "Net-(C56-Pad1)")
(node (ref C56) (pin 1))
(node (ref U6) (pin 21))
(node (ref C57) (pin 1)))
(net (code 199) (name /ADC1-Rev4/InB+)
(node (ref R13) (pin 2))
(net (code 200) (name /ADC1-Rev4/InB+)
(node (ref T2) (pin 3))
(node (ref J6) (pin 1)))
(net (code 200) (name /ADC1-Rev4/CLK_N)
(node (ref U6) (pin 3))
(node (ref C51) (pin 1)))
(net (code 201) (name "Net-(J3-Pad1)")
(node (ref T1) (pin 6))
(node (ref J6) (pin 1))
(node (ref R13) (pin 2)))
(net (code 201) (name /ADC1-Rev4/CLK_N)
(node (ref C51) (pin 1))
(node (ref U6) (pin 3)))
(net (code 202) (name "Net-(J3-Pad1)")
(node (ref J3) (pin 1))
(node (ref R4) (pin 1))
(node (ref J3) (pin 1)))
(net (code 202) (name "Net-(C92-Pad1)")
(node (ref U7) (pin 22))
(node (ref T1) (pin 6)))
(net (code 203) (name "Net-(C92-Pad1)")
(node (ref C93) (pin 1))
(node (ref R21) (pin 1))
(node (ref R22) (pin 1))
(node (ref C92) (pin 1))
(node (ref R22) (pin 1)))
(net (code 203) (name A1P8V)
(node (ref U3) (pin 9))
(node (ref U3) (pin 10))
(node (ref C41) (pin 1))
(node (ref R21) (pin 1))
(node (ref U7) (pin 22)))
(net (code 204) (name A1P8V)
(node (ref U7) (pin 29))
(node (ref U7) (pin 28))
(node (ref C59) (pin 1))
(node (ref U7) (pin 32))
(node (ref U6) (pin 25))
(node (ref U6) (pin 24))
(node (ref U6) (pin 32))
(node (ref C70) (pin 1))
(node (ref C71) (pin 1))
(node (ref C60) (pin 1))
(node (ref C67) (pin 1))
(node (ref C64) (pin 1))
(node (ref C61) (pin 1))
(node (ref C77) (pin 1))
(node (ref C74) (pin 1))
(node (ref U7) (pin 24))
(node (ref C69) (pin 1))
(node (ref U7) (pin 25))
(node (ref C78) (pin 1))
(node (ref C73) (pin 1))
(node (ref C72) (pin 1))
(node (ref C58) (pin 1))
(node (ref C79) (pin 1))
(node (ref C68) (pin 1))
(node (ref C117) (pin 1))
(node (ref U6) (pin 1))
(node (ref C105) (pin 1))
(node (ref C104) (pin 1))
(node (ref C101) (pin 1))
(node (ref C63) (pin 1))
(node (ref C66) (pin 1))
(node (ref C62) (pin 1))
(node (ref C112) (pin 1))
(node (ref C102) (pin 1))
(node (ref C109) (pin 1))
(node (ref C106) (pin 1))
(node (ref C111) (pin 1))
(node (ref C64) (pin 1))
(node (ref C107) (pin 1))
(node (ref C104) (pin 1))
(node (ref C101) (pin 1))
(node (ref C61) (pin 1))
(node (ref C117) (pin 1))
(node (ref C103) (pin 1))
(node (ref C108) (pin 1))
(node (ref C65) (pin 1))
(node (ref C112) (pin 1))
(node (ref C105) (pin 1))
(node (ref C109) (pin 1))
(node (ref U7) (pin 29))
(node (ref C114) (pin 1))
(node (ref C102) (pin 1))
(node (ref C99) (pin 1))
(node (ref C100) (pin 1))
(node (ref C119) (pin 1))
(node (ref C77) (pin 1))
(node (ref C74) (pin 1))
(node (ref U3) (pin 10))
(node (ref C110) (pin 1))
(node (ref C79) (pin 1))
(node (ref C113) (pin 1))
(node (ref U7) (pin 1))
(node (ref C118) (pin 1))
(node (ref C68) (pin 1))
(node (ref U6) (pin 29))
(node (ref C41) (pin 1))
(node (ref U6) (pin 28))
(node (ref C70) (pin 1))
(node (ref U6) (pin 1))
(node (ref C72) (pin 1))
(node (ref C99) (pin 1))
(node (ref C73) (pin 1))
(node (ref C59) (pin 1))
(node (ref C103) (pin 1))
(node (ref C100) (pin 1))
(node (ref C69) (pin 1))
(node (ref C78) (pin 1))
(node (ref U6) (pin 32))
(node (ref U7) (pin 28))
(node (ref U7) (pin 25))
(node (ref U7) (pin 24))
(node (ref C71) (pin 1))
(node (ref U7) (pin 32))
(node (ref U6) (pin 24))
(node (ref U6) (pin 25))
(node (ref U7) (pin 1))
(node (ref C108) (pin 1))
(node (ref C58) (pin 1))
(node (ref C60) (pin 1))
(node (ref C67) (pin 1))
(node (ref C114) (pin 1))
(node (ref U6) (pin 29))
(node (ref U3) (pin 9))
(node (ref C111) (pin 1))
(node (ref C98) (pin 1)))
(net (code 204) (name "Net-(R27-Pad2)")
(node (ref R27) (pin 2))
(node (ref U7) (pin 23)))
(net (code 205) (name "Net-(J9-Pad1)")
(node (ref T3) (pin 6))
(net (code 205) (name "Net-(R27-Pad2)")
(node (ref U7) (pin 23))
(node (ref R27) (pin 2)))
(net (code 206) (name "Net-(J9-Pad1)")
(node (ref R16) (pin 1))
(node (ref J9) (pin 1))
(node (ref R16) (pin 1)))
(net (code 206) (name "Net-(C88-Pad1)")
(node (ref R21) (pin 2))
(node (ref T3) (pin 6)))
(net (code 207) (name "Net-(C88-Pad1)")
(node (ref C88) (pin 1))
(node (ref T3) (pin 2)))
(net (code 207) (name "Net-(C89-Pad1)")
(node (ref C89) (pin 1))
(node (ref T3) (pin 2))
(node (ref R21) (pin 2)))
(net (code 208) (name "Net-(C89-Pad1)")
(node (ref T4) (pin 2))
(node (ref C89) (pin 1))
(node (ref R22) (pin 2)))
(net (code 208) (name "Net-(J10-Pad1)")
(node (ref J10) (pin 1))
(net (code 209) (name "Net-(J10-Pad1)")
(node (ref T4) (pin 4))
(node (ref R17) (pin 1))
(node (ref T4) (pin 4)))
(net (code 209) (name /ADC2-Rev4/CLK_N)
(node (ref C91) (pin 1))
(node (ref U7) (pin 3)))
(net (code 210) (name /ADC2-Rev4/CLK_P)
(node (ref J10) (pin 1)))
(net (code 210) (name /ADC2-Rev4/CLK_N)
(node (ref U7) (pin 3))
(node (ref C91) (pin 1)))
(net (code 211) (name /ADC2-Rev4/CLK_P)
(node (ref U7) (pin 2))
(node (ref C90) (pin 1)))
(net (code 211) (name /ADC2-Rev4/VinA+)
(node (ref U7) (pin 27))
(net (code 212) (name /ADC2-Rev4/VinA+)
(node (ref C94) (pin 1))
(node (ref R23) (pin 1))
(node (ref C94) (pin 1)))
(net (code 212) (name /ADC2-Rev4/VinA-)
(node (ref R24) (pin 1))
(node (ref U7) (pin 27)))
(net (code 213) (name /ADC2-Rev4/VinA-)
(node (ref C94) (pin 2))
(node (ref U7) (pin 26)))
(net (code 213) (name /ADC2-Rev4/VinB+)
(node (ref R25) (pin 1))
(node (ref U7) (pin 26))
(node (ref R24) (pin 1)))
(net (code 214) (name /ADC2-Rev4/VinB+)
(node (ref C95) (pin 1))
(node (ref U7) (pin 30)))
(net (code 214) (name /ADC2-Rev4/VinB-)
(node (ref U7) (pin 30))
(node (ref R25) (pin 1)))
(net (code 215) (name /ADC2-Rev4/VinB-)
(node (ref U7) (pin 31))
(node (ref C95) (pin 2))
(node (ref R26) (pin 1)))
(net (code 215) (name /ADC2-Rev4/InA-)
(node (ref J13) (pin 1))
(node (ref R26) (pin 1))
(node (ref C95) (pin 2)))
(net (code 216) (name /ADC2-Rev4/InA-)
(node (ref T3) (pin 3))
(node (ref R24) (pin 2))
(node (ref T3) (pin 3)))
(net (code 216) (name /ADC2-Rev4/InB+)
(node (ref J13) (pin 1)))
(net (code 217) (name /ADC2-Rev4/InB+)
(node (ref T4) (pin 3))
(node (ref J12) (pin 1))
(node (ref R25) (pin 2))
(node (ref T4) (pin 3)))
(net (code 217) (name /ADC2-Rev4/InB-)
(node (ref T4) (pin 1))
(node (ref R25) (pin 2)))
(net (code 218) (name /ADC2-Rev4/InB-)
(node (ref R26) (pin 2))
(node (ref T4) (pin 1))
(node (ref J14) (pin 1)))
(net (code 218) (name /ADC2-Rev4/InA+)
(net (code 219) (name /ADC2-Rev4/InA+)
(node (ref R23) (pin 2))
(node (ref J11) (pin 1))
(node (ref T3) (pin 1))
(node (ref R23) (pin 2)))
(net (code 219) (name "Net-(R18-Pad1)")
(node (ref T3) (pin 1)))
(net (code 220) (name "Net-(R18-Pad1)")
(node (ref R19) (pin 2))
(node (ref R20) (pin 1))
(node (ref R18) (pin 1)))
(net (code 220) (name "Net-(C96-Pad1)")
(net (code 221) (name "Net-(C96-Pad1)")
(node (ref U7) (pin 21))
(node (ref C97) (pin 1))
(node (ref C96) (pin 1)))))
\ No newline at end of file
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment