Commit d93a7434 authored by egousiou's avatar egousiou

version of large scale PSI tests

git-svn-id: http://svn.ohwr.org/cern-fip/trunk/hdl/design@253 7f0067c9-7624-46c7-bd39-3fb5400c0213
parent 1999b7f3
......@@ -205,7 +205,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -94,7 +94,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -309,7 +309,7 @@ begin
cons_var_rst_byte_2_o <= (others => '0');
s_jc_mem_wr_en_p <= '0';
s_base_adr <= c_VARS_ARRAY(c_VAR_1_INDEX).base_addr;-- base address
-- from wf_package
-- from WF_PACKAGE
-- -- -- -- -- -- -- -- -- -- -- --
-- in memory mode
if slone_i = '0' then
......@@ -431,7 +431,7 @@ begin
s_slone_wr_en_p <= (others => '0');
s_mem_wr_en_p <= '0';
s_base_adr <= c_VARS_ARRAY(c_VAR_4_INDEX).base_addr;-- base address
-- from wf_package
-- from WF_PACKAGE
-- -- -- -- -- -- -- -- -- -- -- --
if (unsigned(byte_index_i)> 0 and unsigned(byte_index_i)< 127) then -- memory limits
......
......@@ -91,7 +91,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -267,7 +267,7 @@ begin
---------------------------------------------------------------------------------------------------
-- var_3: VAR_RDY_Generation --
-- VAR_RDY_Generation --
---------------------------------------------------------------------------------------------------
-- Synchronous process VAR_RDY_Generation:
......
......@@ -91,7 +91,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -61,7 +61,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -50,7 +50,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -59,7 +59,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -95,7 +95,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -706,7 +706,7 @@ begin
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- retrieval of the turnaround and silence times (in equivalent number of uclk ticks) from the
-- c_TIMEOUTS_TABLE declared in the wf_package unit.
-- c_TIMEOUTS_TABLE declared in the WF_PACKAGE unit.
s_turnaround_time <= to_unsigned((c_TIMEOUTS_TABLE(to_integer(unsigned(rate_i))).turnaround),
s_turnaround_time'length);
......
......@@ -86,7 +86,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -81,7 +81,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -48,7 +48,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -71,7 +71,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -157,8 +157,8 @@ begin
-- To add a robust layer of protection to the FSM, we have implemented a counter, dependent only on
-- the system clock, that from any state can bring the FSM back to IDLE. A frame with the maximum
-- number of TMS/ TDI bits needs: 122 bytes * ((4 * JC_TCK) + 2 uclk) seconds to be treated.
-- For a 5 MHz JC_TCK clock this is 103.7 us. We use a counter of 13 bits which means that the FSM
-- is reset if 204.8 us have passed since it has left the IDLE state.
-- For a 5 MHz JC_TCK clock this is 103.7 us. We use a counter of c_JC_TIMEOUT_C_LGTH = 13 bits
-- which means that the FSM is reset if 204.8 us have passed since it has left the IDLE state.
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Synchronous process JC_FSM_Sync: storage of the current state of the FSM
......@@ -236,7 +236,10 @@ begin
end process;
JCTRLer_FSM_Comb_Output_Signals: process (jc_st)
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Combinatorial process JC_FSM_Comb_Output_Signals: Definition of the output signals of the FSM.
JC_FSM_Comb_Output_Signals: process (jc_st)
begin
......@@ -498,7 +501,7 @@ begin
-- Independent Timeout Counter --
---------------------------------------------------------------------------------------------------
-- Instantiation of a wf_decr_counter relying only on the system clock, as an additional
-- way to go back to Idle state, in case any other logic is being stuck. The timeout is 204.8 us.
-- way to go back to IDLE state, in case any other logic is being stuck. The timeout is 204.8 us.
Session_Timeout_Counter: wf_decr_counter
generic map(g_counter_lgth => c_JC_TIMEOUT_C_LGTH)
......
......@@ -63,7 +63,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -7,7 +7,7 @@
---------------------------------------------------------------------------------------------------
-- |
-- wf_package |
-- WF_PACKAGE |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_package.vhd |
......@@ -75,7 +75,7 @@ package wf_package is
---------------------------------------------------------------------------------------------------
constant c_MAX_FRAME_BITS : natural := 976; -- maximum number of TMS/ TDI bits that can be sent
-- in one frame : 122 bytes * 8 bits
-- in one frame: 122 bytes * 8 bits
constant c_FOUR_JC_TCK_C_LGTH : natural := 5; -- length of a counter counting 4 JC_TCK periods;
-- the JC_TCK frequency is defined by this constant.
......@@ -84,14 +84,16 @@ package wf_package is
-- Use c_FOUR_JC_TCK_C_LGTH = 6 for a 2.5 MHz JC_TCK,
-- c_FOUR_JC_TCK_C_LGTH = 7 for 1.25 MHz etc.
-- check also the c_JC_TIMEOUT_C_LGTH in the following paragraph
---------------------------------------------------------------------------------------------------
-- Constant regarding the session timeout counters --
-- Constants regarding the session timeout counters --
---------------------------------------------------------------------------------------------------
-- To add a robust layer of protection to the FSMs of the desing, counters that depend only on
---------------------------------------------------------------------------------------------------
-- To add a robust layer of protection to the FSMs of the design, counters that depend only on
-- the system clock have being implemented; when they are filled up, they can bring the FSMs back
-- to the IDLE state.
......@@ -120,6 +122,8 @@ package wf_package is
constant c_SESSION_TIMEOUT_C_LGTH : natural := 21;
---------------------------------------------------------------------------------------------------
-- For the wf_jtag_controller FSM this timeout depends on the frequency of the JC_TCK.
-- The time the FSM needs to handle the biggest frame (122 bytes) is:
-- 122 * ((4 * JC_TCK_period) + 2 uclk_period)
......@@ -316,7 +320,7 @@ package wf_package is
c_1M_INDEX => (turnaround => integer (14000.0 / c_QUARTZ_PERIOD),
silence => integer (150000.0 / c_QUARTZ_PERIOD)),
c_2M5_INDEX => (turnaround => integer (6000.0 / c_QUARTZ_PERIOD),
c_2M5_INDEX => (turnaround => integer (13500.0 / c_QUARTZ_PERIOD),
silence => integer (96000.0 / c_QUARTZ_PERIOD)),
c_RESERVE_INDEX => (turnaround => integer (480000.0 /C_QUARTZ_PERIOD),
......
......@@ -26,14 +26,14 @@
-- |_CTRL_||__PDU__|_LGTH_|_...User-Data..._|_nstat_|__MPS__|| |
-- |
-- If the variable to be produced is the |
-- o presence : The unit retreives the bytes from the wf_package. |
-- o presence : The unit retreives the bytes from the WF_PACKAGE. |
-- No MPS & no nanoFIP status are associated with this variable. |
-- ______ _______ ______ ______ ______ ______ ______ ______ |
-- |_CTRL_||__PDU__|__05__|__80__|__03__|__00__|__F0__|__00__|| |
-- |
-- |
-- o identification: The unit retreives the Constructor & Model bytes from the |
-- wf_model_constr_decoder, & all the rest from the wf_package. |
-- wf_model_constr_decoder, & all the rest from the WF_PACKAGE. |
-- No MPS & no nanoFIP status are associated with this variable. |
-- ______ _______ ______ ______ ______ ______ ______ _______ ______ ______ ______ |
-- |_CTRL_||__PDU__|__08__|__01__|__00__|__00__|_cons_|__mod__|__00__|__00__|__00__|| |
......@@ -46,7 +46,7 @@
-- The MPS and the nanoFIP status bytes are retrieved from the |
-- wf_status_bytes_gen. |
-- The LGTH byte is retrieved from the wf_prod_data_lgth_calc. |
-- The rest of the bytes (CTRL & PDU) come from the wf_package. |
-- The rest of the bytes (CTRL & PDU) come from the WF_PACKAGE. |
-- ______ _______ ______ ________________________________________ _______ _______ |
-- |_CTRL_||__PDU__|_LGTH_|_____________..User-Data..______________|_nstat_|__MPS__|| |
-- |
......@@ -113,7 +113,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -269,7 +269,7 @@ begin
-- RP_DAT frame: If the variable requested in the ID_DAT is of "produced" type (identification/
-- presence/ var3/ var5) the process prepares accordingly, one by one, bytes of data to be sent.
-- The pointer "s_byte_index_d1" (or "s_byte_index_d_aux") indicates which byte of the frame is to be sent.
-- Some of the bytes are defined in the wf_package,
-- Some of the bytes are defined in the WF_PACKAGE,
-- the rest come either from the memory (if slone = 0) or from the the input bus DAT_I (if slone = 1),
-- or from the wf_status_bytes_gen or the wf_model_constr_decoder units.
-- The output byte "byte_o" is sent to the wf_tx_serializer unit for manchester encoding and serialization.
......@@ -329,13 +329,13 @@ begin
-- In memory mode:
if slone_i = '0' then
-- retrieval of base address info for the memory from the wf_package
-- retrieval of base address info for the memory from the WF_PACKAGE
s_base_addr <= c_VARS_ARRAY(c_VAR_3_INDEX).base_addr;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- The first (CTRL) and second (PDU_TYPE) bytes to be sent
-- are predefined in the c_VARS_ARRAY matrix of the wf_package
-- are predefined in the c_VARS_ARRAY matrix of the WF_PACKAGE
if unsigned(s_byte_index_d1) <= c_VARS_ARRAY(c_VAR_3_INDEX).array_lgth then -- less or eq
byte_o <= c_VARS_ARRAY(c_VAR_3_INDEX).byte_array(s_byte_index_d_aux);
......@@ -379,7 +379,7 @@ begin
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- The first (CTRL) and second (PDU_TYPE) bytes to be sent
-- are predefined in the c_VARS_ARRAY matrix of the wf_package
-- are predefined in the c_VARS_ARRAY matrix of the WF_PACKAGE
if unsigned(s_byte_index_d1) <= c_VARS_ARRAY(c_VAR_3_INDEX).array_lgth then -- less or eq
byte_o <= c_VARS_ARRAY(c_VAR_3_INDEX).byte_array(s_byte_index_d_aux);
......@@ -423,7 +423,7 @@ begin
-- For a var_5 the 1 user-data byte comes from the wf_jtag_controller unit.
-- The nanoFIP status byte comes from the wf_status_bytes_gen and it is always sent, regardless
-- of the NOSTAT input. The MPS byte is also coming from the wf_status_bytes_gen.
-- The rest of the bytes come from the wf_package.
-- The rest of the bytes come from the WF_PACKAGE.
when var_5 =>
s_base_addr <= (others => '0'); -- no memory access needed
......@@ -456,7 +456,7 @@ begin
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- The rest of the bytes (the very first one, CTRL, and the second one, PDU_TYPE) are
-- predefined in the c_VARS_ARRAY matrix of the wf_package
-- predefined in the c_VARS_ARRAY matrix of the WF_PACKAGE
else
byte_o <= c_VARS_ARRAY(c_VAR_5_INDEX).byte_array(s_byte_index_d_aux);
rst_status_bytes_p_o <= '0';
......@@ -476,7 +476,7 @@ begin
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Synchronous process Delay_byte_index_i: in the combinatorial process Bytes_Generation,
-- according to the value of the signal s_byte_index_d1, a byte is retrieved either from the memory,
-- or from the wf_package or from the wf_status_bytes_gen or wf_model_constr_decoder units.
-- or from the WF_PACKAGE or from the wf_status_bytes_gen or wf_model_constr_decoder units.
-- Since the memory needs one clock cycle to output its data (as opposed to the other units that
-- have them ready) the signal s_byte_index_d1 has to be a delayed version of the byte_index_i
-- (byte_index_i is the signal used as address for the mem; s_byte_index_d1 is the delayed one
......@@ -501,7 +501,7 @@ begin
---------------------------------------------------------------------------------------------------
s_mem_addr_A <= std_logic_vector (s_base_addr + s_mem_addr_offset - 1);
-- address of the byte to be read from memory: base_address(from wf_package) + byte_index_i - 1
-- address of the byte to be read from memory: base_address(from WF_PACKAGE) + byte_index_i - 1
-- (the -1 is because the byte_index_i counts also the CTRL byte, that is not part of the
-- memory; for example when byte_index_i is 3 which means that the CTRL, PDU_TYPE and LGTH
-- bytes have preceded and a byte from the memory is now requested, the byte from the memory cell
......
......@@ -75,7 +75,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -125,7 +125,7 @@ begin
-- Combinatorial process data_length_calcul: calculation of the amount of bytes, after the
-- FSS and before the FCS, that have to be transferred when a variable is produced. In the case
-- of the presence, the identification and the var5 variables, the data length is predefined in the
-- wf_package. In the case of a var3 the inputs SLONE, NOSTAT and P3_LGTH[] are accounted for the
-- WF_PACKAGE. In the case of a var3 the inputs SLONE, NOSTAT and P3_LGTH[] are accounted for the
-- calculation.
data_length_calcul: process (var_i, s_p3_lgth_decoded, slone_i, nostat_i, p3_lgth_i)
......@@ -138,13 +138,13 @@ begin
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -
when var_presence =>
-- data length information retrieval from the c_VARS_ARRAY matrix (wf_package)
-- data length information retrieval from the c_VARS_ARRAY matrix (WF_PACKAGE)
s_prod_data_lgth <= c_VARS_ARRAY(c_VAR_PRESENCE_INDEX).array_lgth;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -
when var_identif =>
-- data length information retrieval from the c_VARS_ARRAY matrix (wf_package)
-- data length information retrieval from the c_VARS_ARRAY matrix (WF_PACKAGE)
s_prod_data_lgth <= c_VARS_ARRAY(c_VAR_IDENTIF_INDEX).array_lgth;
......@@ -188,7 +188,7 @@ begin
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -
when var_5 =>
-- data length information retrieval from the c_VARS_ARRAY matrix (wf_package)
-- data length information retrieval from the c_VARS_ARRAY matrix (WF_PACKAGE)
s_prod_data_lgth <= c_VARS_ARRAY(c_VAR_5_INDEX).array_lgth;
......
......@@ -51,7 +51,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -20,7 +20,7 @@
-- - the Produced RAM or |
-- - or the"nanoFIP User Interface,NON-WISHBONE"bus DAT_I
-- - or the wf_jtag_controller unit |
-- o PDU,CTRL bytes : from the wf_package |
-- o PDU,CTRL bytes : from the WF_PACKAGE |
-- o MPS,nFIP status: from the wf_status_bytes_gen |
-- o LGTH byte : from the wf_prod_data_lgth_calc |
-- and following the signals from the external unit, |
......@@ -102,7 +102,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -140,7 +140,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -53,7 +53,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -113,7 +113,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -71,7 +71,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -119,7 +119,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -369,6 +369,10 @@ begin
-- signals VAR1_RDY, VAR2_RDY, VAR3_RDY for 15 uclk cycles.
-- Enabled VAR_ACC during this period will not trigger a nanoFIP status byte error.
-- Note: actually it is the var_acc_synch(2) rather than the VAR_ACC used to check for access errors;
-- var_acc_synch(2) is 3 cycles later than VAR_ACC and therefore enabled VAR_ACC is ignored up to 12
-- uclk cycles (not 15 uclk cycles!) after the deassertion of the VAR_RDY.
Extend_VAR1_RDY: wf_incr_counter -- VAR1_RDY : __|---...---|___________________
generic map(g_counter_lgth => 4) -- s_var1_rdy_extended: __|---...------------------|____
port map( -- --> VAR_ACC here is OK! <--
......
......@@ -63,7 +63,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -15,8 +15,8 @@
-- Description The unit is generating the nanoFIP FIELDRIVE outputs FD_TXD and FD_TXENA. |
-- It is retreiving bytes of data from: |
-- o the wf_production (from the CTRL byte until the MPS) |
-- o wf_package (FSS and FES bytes) |
-- o and the wf_CRC (FCS bytes). |
-- o WF_PACKAGE (FSS and FES bytes) |
-- o and the wf_crc (FCS bytes). |
-- |
-- It encodes the bytes to the Manchester 2 (manch.)scheme and outputs one by one the|
-- encoded bits on the moments indicated by the wf_tx_osc unit. |
......@@ -74,7 +74,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
......@@ -50,7 +50,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_PACKAGE.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment