Commit 82169600 authored by Federico Vaga's avatar Federico Vaga

include: compilation bugfix

Signed-off-by: Federico Vaga's avatarFederico Vaga <federico.vaga@cern.ch>
parent 73838e62
......@@ -17,7 +17,7 @@
*/
#ifndef __TRT_USER_H__
#ifndef __TRTL_USER_H__
#define __TRTL_USER_H__
/** @file mock-turtle.h */
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment