Add a new Verilog test for Vivado simulation

parent 583bd9e0
......@@ -64,7 +64,7 @@ class ToolVivado(ToolXilinx, ToolSim):
"$(PROJECT).cache", "$(PROJECT).data", "work",
"$(PROJECT).runs", "$(PROJECT).hw", "xsim.dir",
"$(PROJECT).ip_user_files", "$(PROJECT_FILE)"],
'mrproper': ["*.wdb"]}
'mrproper': ["*.wdb", "*.vcd"]}
TCL_CONTROLS = {'bitstream': '$(TCL_OPEN)\n'
'launch_runs impl_1 -to_step write_bitstream'
......
action = "simulation"
sim_tool = "vivado"
sim_top = "counter_tb"
sim_post_cmd = "xsim %s -gui" % sim_top
modules = {
"local" : [ "../../../testbench/counter_tb/verilog" ],
}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment