Commit e13fe484 authored by Tristan Gingold's avatar Tristan Gingold

Minor refactoring (remove useless signals).

parent 3fcafedb
......@@ -146,15 +146,9 @@ architecture behavioral of l2p_dma_master is
-- Wishbone
signal l2p_dma_cyc_t : std_logic;
signal l2p_dma_stb_t : std_logic;
signal l2p_dma_sel_t : std_logic_vector(3 downto 0) := (others => '0');
signal l2p_dma_adr_t : std_logic_vector(31 downto 0) := (others => '0');
signal wb_read_cnt : unsigned(12 downto 0);
signal l2p_cyc_start : std_logic;
signal wb_cyc_start : std_logic;
signal l2p_cyc_cnt : unsigned(12 downto 0);
signal wb_cyc_cnt : unsigned(12 downto 0);
begin
------------------------------
-- Active low reset for fifos
......@@ -267,7 +261,7 @@ begin
ldm_arb_dframe_o <= '1';
end if;
-- Error condition, aboirt transfer
-- Error condition, abort transfer
if (tx_error_i = '1' or l2p_timeout_cnt > c_TIMEOUT or dma_ctrl_abort_i = '1') then
l2p_dma_current_state <= L2P_ERROR;
end if;
......@@ -428,7 +422,7 @@ begin
-- Tie offs
l2p_dma_cyc_o <= l2p_dma_cyc_t;
l2p_dma_stb_o <= l2p_dma_stb_t; --and not addr_fifo_empty;
l2p_dma_sel_o <= l2p_dma_sel_t;
l2p_dma_sel_o <= (others => '1');
l2p_dma_adr_o <= l2p_dma_adr_t;
l2p_dma_dat_o <= (others => '0');
l2p_dma_we_o <= '0';
......@@ -445,7 +439,6 @@ begin
wb_read_cnt <= (others => '0');
else
l2p_dma_sel_t <= (others => '1');
l2p_dma_adr_t <= addr_fifo_dout;
if (addr_fifo_valid = '1') then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment