Commit c13d3599 authored by Tristan Gingold's avatar Tristan Gingold

l2p_dma_master: minor simplification.

parent bebc523b
......@@ -222,9 +222,6 @@ begin
if dma_total_len > c_L2P_MAX_PAYLOAD then
dma_packet_len <= to_unsigned(c_L2P_MAX_PAYLOAD, dma_packet_len'length);
dma_last_packet <= '0';
elsif dma_total_len = c_L2P_MAX_PAYLOAD then
dma_packet_len <= to_unsigned(c_L2P_MAX_PAYLOAD, dma_packet_len'length);
dma_last_packet <= '1';
else
dma_packet_len <= dma_total_len(5 downto 0);
dma_last_packet <= '1';
......@@ -239,7 +236,8 @@ begin
-- b) there is data waiting in the FIFO
-- c) Gennum is ready
if ldm_arb_gnt_i = '1' and data_fifo_empty = '0' and
l_wr_rdy_i = '1' and l2p_rdy_i = '1' then
l_wr_rdy_i = '1' and l2p_rdy_i = '1'
then
l2p_dma_current_state <= L2P_HEADER;
end if;
......
......@@ -668,6 +668,7 @@ begin
p2l_dma_in.err <= dma_err_i;
p2l_dma_in.rty <= dma_rty_i;
-- NOTE: dma_ctrl_direction crosses clock domains.
p_dma_wb_mux : process (dma_ack_i, dma_ctrl_direction, dma_stall_i,
l2p_dma_out, p2l_dma_out)
begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment