Commit 10cd74b0 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: add keep attributes to clock signals to be able to create timing constraints on them

parent 03197690
......@@ -145,6 +145,10 @@ architecture rtl of gn4124_core is
signal serdes_strobe : std_logic;
signal p2l_pll_locked : std_logic;
attribute keep : string;
attribute keep of sys_clk : signal is "TRUE";
attribute keep of io_clk : signal is "TRUE";
-- Reset for all clk_p logic
signal rst_reg : std_logic;
signal rst_reg_d : std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment