diff --git a/hdl/spec/rtl/Manifest.py b/hdl/spec/rtl/Manifest.py index 045c15b9c6c44425b4778da1278256b5b57702d9..a35ab85e937267f077b8c7688183bc9c18e294aa 100644 --- a/hdl/spec/rtl/Manifest.py +++ b/hdl/spec/rtl/Manifest.py @@ -1,5 +1,4 @@ -files = ["spec_gn4124_test.vhd", - "../spec_gn4124_test.ucf"] +files = ["spec_gn4124_test.vhd",] modules = {"local" : ["../../common/rtl", - '../../gn4124/rtl']} + '../../gn4124core/rtl']} diff --git a/hdl/spec/rtl/spec_gn4124_test.vhd b/hdl/spec/rtl/spec_gn4124_test.vhd index e208531dd485bd3c4e6dd360d1cc1bdb168669d1..76be1363b5b6f0402fd69116d1d66c17868b302b 100644 --- a/hdl/spec/rtl/spec_gn4124_test.vhd +++ b/hdl/spec/rtl/spec_gn4124_test.vhd @@ -26,6 +26,7 @@ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; +use work.gn4124_core_pkg.all; library UNISIM; use UNISIM.vcomponents.all; @@ -84,6 +85,8 @@ entity spec_gn4124_test is ); end spec_gn4124_test; + + architecture rtl of spec_gn4124_test is ------------------------------------------------------------------------------ @@ -290,19 +293,6 @@ architecture rtl of spec_gn4124_test is begin - ------------------------------------------------------------------------------ - -- System clock from 25MHz TCXO - ------------------------------------------------------------------------------ - cmp_sysclk_buf : IBUFDS - generic map ( - DIFF_TERM => false, -- Differential Termination - IBUF_LOW_PWR => true, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards - IOSTANDARD => "DEFAULT") - port map ( - O => sys_clk, -- Buffer output - I => sys_clk_p, -- Diff_p buffer input (connect directly to top-level port) - IB => sys_clk_n -- Diff_n buffer input (connect directly to top-level port) - ); ------------------------------------------------------------------------------ -- Local clock from gennum LCLK @@ -452,10 +442,8 @@ begin dummy_reg_led_o => dummy_ctrl_reg_led ); - LED_RED <= dummy_ctrl_reg_led(0); - LED_GREEN <= dummy_ctrl_reg_led(1); - --LED_GREEN <= '1'; - --LED_RED <= p2l_pll_locked; + led_red_o <= dummy_ctrl_reg_led(0); + led_green_o <= dummy_ctrl_reg_led(1); ------------------------------------------------------------------------------ -- DMA wishbone bus connected to a DPRAM diff --git a/hdl/spec/syn/Manifest.py b/hdl/spec/syn/Manifest.py index b45bfd19bf7cb65f8798cee5a141bb4ea30bead5..3191bd4df5783dad7ed965d78151cd35cc86cfeb 100644 --- a/hdl/spec/syn/Manifest.py +++ b/hdl/spec/syn/Manifest.py @@ -3,8 +3,13 @@ action = "synthesis" modules = { "local" : "../rtl" } -syn_device = "xc6slx150t" -syn_grade = "-2" -syn_package = "fgg676" +syn_device = "xc6slx45t" +syn_grade = "-3" +syn_package = "fgg484" syn_top = "spec_gn4124_test" syn_project = "spec_gn4124_test.xise" + +files = ["../ip_cores/ram_2048x32.ngc", + "../ip_cores/fifo_32x512.ngc", + "../ip_cores/fifo_64x512.ngc", + "../spec_gn4124_test.ucf"]