1. 11 May, 2020 1 commit
  2. 06 May, 2020 3 commits
  3. 04 May, 2020 1 commit
  4. 24 Apr, 2020 2 commits
  5. 23 Apr, 2020 1 commit
  6. 21 Apr, 2020 5 commits
  7. 20 Apr, 2020 5 commits
  8. 14 Apr, 2020 2 commits
  9. 09 Apr, 2020 1 commit
    • Maciej Lipinski's avatar
      [hdl] add missing generic to generic_dpram in altera · c0e85653
      Maciej Lipinski authored
      This generic is dummy (does nothing), yet it is needed since the
      generic component declaration in genram_pkg.vhd has such generic.
      It has it, because the xilinx generic_dpram.vhd has such generic
      and uses it.
      TBD whether we want to attempt at providing similar functionality
      for altera
      c0e85653
  10. 03 Apr, 2020 1 commit
  11. 30 Mar, 2020 1 commit
  12. 26 Mar, 2020 6 commits
  13. 17 Mar, 2020 2 commits
  14. 13 Mar, 2020 3 commits
  15. 11 Mar, 2020 1 commit
  16. 06 Mar, 2020 4 commits
  17. 05 Mar, 2020 1 commit