Commit eaacde90 authored by Dimitris Lampridis's avatar Dimitris Lampridis

[hdl] move assertion check from gc_sync_word_wr to gc_pulse_synchronizer

parent b814d633
......@@ -98,6 +98,12 @@ begin -- rtl
d_ack <= '1';
ready <= '1';
end if;
if ready = '0' then
assert d_p_i = '0' or (d_p_i = '1' and d_p_d0 = '1')
report "request while previous one not completed"
severity ERROR;
end if;
end if;
end process p_input_ack;
......
......@@ -94,14 +94,9 @@ begin
p_writer : process(clk_in_i)
begin
if rising_edge(clk_in_i) then
if d_ready = '1' then
if wr_in = '1' then
-- Write requested, save the input data
gc_sync_word_wr_data <= data_i;
end if;
else
assert wr_in = '0' report "request while previous one not completed"
severity ERROR;
if d_ready = '1' and wr_in = '1' then
-- Write requested, save the input data
gc_sync_word_wr_data <= data_i;
end if;
end if;
end process p_writer;
......@@ -110,7 +105,7 @@ begin
begin
if rising_edge(clk_out_i) then
if wr_out = '1' then
-- Data is stable.
-- Data is stable.
dat_out <= gc_sync_word_wr_data;
wr_o <= '1';
else
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment