Commit a68a932b authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wb_fine_pulse_gen: fix incorrect reset signal for IDELAYCTRL for Ultrascale devices

parent 605b1e25
......@@ -165,7 +165,7 @@ begin
port map (
RDY => odelayctrl_rdy_o,
REFCLK => clk_odelay,
RST => odelayctrl_rst_i
RST => rst_synced
);
end block;
end generate gen_use_odelay;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment