Commit 6b394362 authored by Dimitris Lampridis's avatar Dimitris Lampridis

[hdl] add missing generic to generic_sync_fifo in genram_pkg

parent a3dbccf8
......@@ -215,7 +215,8 @@ package genram_pkg is
g_with_almost_full : boolean := false;
g_with_count : boolean := false;
g_almost_empty_threshold : integer := 0;
g_almost_full_threshold : integer := 0);
g_almost_full_threshold : integer := 0;
g_register_flag_outputs : boolean := true);
port (
rst_n_i : in std_logic := '1';
clk_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment