Commit 14e96565 authored by Dimitris Lampridis's avatar Dimitris Lampridis

[hdl] fix bug in gc_sync_word_rd with wrong signal used for loading the next input

parent cc5228b9
......@@ -82,8 +82,7 @@ begin
p_reader : process(clk_in_i)
begin
if rising_edge(clk_in_i) then
if d_ready = '1' then
-- Constantly update the data if ready
if rd_out = '1' then
gc_sync_word_data <= data_in_i;
end if;
end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment