Commit 074d4468 authored by Pascal Bos's avatar Pascal Bos Committed by Tristan Gingold

Fixed an issue where the "RESPONSE_READ" was skipped.

Issue occurred when "wb.ack" became active in the same cycle as "wb.stall" became inactive. The "RESPONSE_READ" state was skipped and therefore a proper handshake with the axilite bus wasn't guaranteed.
parent 1621d6d1
...@@ -109,7 +109,7 @@ begin ...@@ -109,7 +109,7 @@ begin
if (wb_master_i.stall = '0') then if (wb_master_i.stall = '0') then
wb_master_o.stb <= '0'; wb_master_o.stb <= '0';
if (wb_master_i.ack = '1') then if (wb_master_i.ack = '1') then
state <= IDLE; state <= RESPONSE_READ;
axi4_slave_o.RRESP <= c_AXI4_RESP_OKAY; axi4_slave_o.RRESP <= c_AXI4_RESP_OKAY;
axi4_slave_o.RDATA <= wb_master_i.dat; axi4_slave_o.RDATA <= wb_master_i.dat;
axi4_slave_o.RVALID <= '1'; axi4_slave_o.RVALID <= '1';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment