• Theodor-Adrian Stana's avatar
    Added new modules to library · e470d30d
    Theodor-Adrian Stana authored
    - gc_i2c_slave     -- generic I2C slave to be used with a processor or tied to a
                          Wishbone interface
    - gc_glitch_filter -- glitch filter with selectable number of taps
    - wb_i2c_bridge    -- I2C bridge implementing the protocol defined with ELMA
                          for monitoring VME crates
    - wb_xil_multiboot -- module that accesses the Spartan-6 configuration logic
                          for reconfiguring the FPGA using MultiBoot
    
    Doc files for each of these modules can be found in the doc/ folder.
    Signed-off-by: Theodor-Adrian Stana's avatarTheodor Stana <t.stana@cern.ch>
    Signed-off-by: Tomasz Wlostowski's avatarTomasz Włostowski <tomasz.wlostowski@cern.ch>
    e470d30d
Makefile 1.14 KB