From a96c884969da8540c0ea81d6c46aaff722a064ae Mon Sep 17 00:00:00 2001
From: Dimitris Lampridis <dimitris.lampridis@cern.ch>
Date: Thu, 5 Mar 2020 14:56:51 +0100
Subject: [PATCH] [hdl] properly fix f_log2 functions from commit 888ea71

Signed-off-by: Dimitris Lampridis <dimitris.lampridis@cern.ch>
---
 modules/common/gencores_pkg.vhd | 2 ++
 modules/genrams/genram_pkg.vhd  | 5 ++++-
 2 files changed, 6 insertions(+), 1 deletion(-)

diff --git a/modules/common/gencores_pkg.vhd b/modules/common/gencores_pkg.vhd
index c1ea81c4..38047854 100644
--- a/modules/common/gencores_pkg.vhd
+++ b/modules/common/gencores_pkg.vhd
@@ -45,6 +45,8 @@ package gencores_pkg is
   function f_gray_encode(x : std_logic_vector) return std_logic_vector;
   function f_gray_decode(x : std_logic_vector; step : natural) return std_logic_vector;
 
+  function f_log2_ceil(N : natural) return positive;
+  -- kept for backwards compatibility, same as f_log2_ceil()
   function log2_ceil(N : natural) return positive;
 
   function f_bool2int (b : boolean) return natural;
diff --git a/modules/genrams/genram_pkg.vhd b/modules/genrams/genram_pkg.vhd
index c09c1010..3e8c18a8 100644
--- a/modules/genrams/genram_pkg.vhd
+++ b/modules/genrams/genram_pkg.vhd
@@ -26,6 +26,9 @@ library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
 
+library work;
+use work.gencores_pkg.all;
+
 package genram_pkg is
 
   function f_log2_size (A       : natural) return natural;
@@ -251,7 +254,7 @@ package body genram_pkg is
   -- kept for backwards compatibility
   function f_log2_size (A : natural) return natural is
   begin
-    return f_log2_ceil(N);
+    return f_log2_ceil(A);
   end function f_log2_size;
 
   function f_gen_dummy_vec (val : std_logic; size : natural) return std_logic_vector is
-- 
GitLab