diff --git a/modules/common/gencores_pkg.vhd b/modules/common/gencores_pkg.vhd index c1ea81c4f2fb6842a3ab1bac96c685326eef8daf..3804785465537d869cc9be11cf6b650b5696e1b0 100644 --- a/modules/common/gencores_pkg.vhd +++ b/modules/common/gencores_pkg.vhd @@ -45,6 +45,8 @@ package gencores_pkg is function f_gray_encode(x : std_logic_vector) return std_logic_vector; function f_gray_decode(x : std_logic_vector; step : natural) return std_logic_vector; + function f_log2_ceil(N : natural) return positive; + -- kept for backwards compatibility, same as f_log2_ceil() function log2_ceil(N : natural) return positive; function f_bool2int (b : boolean) return natural; diff --git a/modules/genrams/genram_pkg.vhd b/modules/genrams/genram_pkg.vhd index c09c1010658f89ca4ff33466a376caba69ebe47f..3e8c18a863ee5b407dd9688cd0486da7583186a7 100644 --- a/modules/genrams/genram_pkg.vhd +++ b/modules/genrams/genram_pkg.vhd @@ -26,6 +26,9 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; +library work; +use work.gencores_pkg.all; + package genram_pkg is function f_log2_size (A : natural) return natural; @@ -251,7 +254,7 @@ package body genram_pkg is -- kept for backwards compatibility function f_log2_size (A : natural) return natural is begin - return f_log2_ceil(N); + return f_log2_ceil(A); end function f_log2_size; function f_gen_dummy_vec (val : std_logic; size : natural) return std_logic_vector is