From 8a729d5b0a049863c7e169534333444c2b5f5a9f Mon Sep 17 00:00:00 2001 From: Tomasz Wlostowski <tomasz.wlostowski@cern.ch> Date: Tue, 7 Jun 2011 15:14:07 +0200 Subject: [PATCH] wishbone_pkg: added int line for xwb_* structs, component declaration for xwb_spi --- modules/wishbone/wb_spi/xwb_spi.vhd | 2 +- modules/wishbone/wishbone_pkg.vhd | 20 +++++++++++++++++++- 2 files changed, 20 insertions(+), 2 deletions(-) diff --git a/modules/wishbone/wb_spi/xwb_spi.vhd b/modules/wishbone/wb_spi/xwb_spi.vhd index 789f88d6..9fe39b61 100644 --- a/modules/wishbone/wb_spi/xwb_spi.vhd +++ b/modules/wishbone/wb_spi/xwb_spi.vhd @@ -23,7 +23,7 @@ entity xwb_spi is pad_miso_i : in std_logic ); -end xwb_spi; +end xwb_spi; architecture rtl of xwb_spi is diff --git a/modules/wishbone/wishbone_pkg.vhd b/modules/wishbone/wishbone_pkg.vhd index b9f2ddc3..101ae754 100644 --- a/modules/wishbone/wishbone_pkg.vhd +++ b/modules/wishbone/wishbone_pkg.vhd @@ -22,7 +22,7 @@ package wishbone_pkg is std_logic_vector(1 downto 0); type t_wishbone_interface_mode is (CLASSIC, PIPELINED); - + type t_wishbone_master_out is record cyc : std_logic; stb : std_logic; @@ -39,8 +39,26 @@ package wishbone_pkg is err : std_logic; rty : std_logic; stall : std_logic; + int: std_logic; dat : t_wishbone_data; end record t_wishbone_slave_out; subtype t_wishbone_master_in is t_wishbone_slave_out; + type t_wishbone_device_descriptor is std_logic_vector(255 downto 0); + + component xwb_spi + generic ( + g_interface_mode : t_wishbone_interface_mode); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + desc_o : out t_wishbone_device_descriptor; + pad_cs_o : out std_logic_vector(7 downto 0); + pad_sclk_o : out std_logic; + pad_mosi_o : out std_logic; + pad_miso_i : in std_logic); + end component; + end wishbone_pkg; -- GitLab