diff --git a/modules/wishbone/wb_onewire_master/xwb_onewire_master.vhd b/modules/wishbone/wb_onewire_master/xwb_onewire_master.vhd index 3b45f3eae33e58154ed6dfdc7fe442220f99d07d..67d949ab0f86be37c6fc94d4573b259c8dca5935 100644 --- a/modules/wishbone/wb_onewire_master/xwb_onewire_master.vhd +++ b/modules/wishbone/wb_onewire_master/xwb_onewire_master.vhd @@ -42,7 +42,7 @@ architecture rtl of xwb_onewire_master is wb_sel_i : in std_logic_vector(3 downto 0); wb_stb_i : in std_logic; wb_we_i : in std_logic; - wb_adr_i : in std_logic_vector(0 downto 0); + wb_adr_i : in std_logic_vector(1 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_ack_o : out std_logic; @@ -72,7 +72,7 @@ begin -- rtl wb_sel_i => slave_i.Sel, wb_stb_i => slave_i.stb, wb_we_i => slave_i.we, - wb_adr_i => slave_i.adr(0 downto 0), + wb_adr_i => slave_i.adr(1 downto 0), wb_dat_i => slave_i.Dat, wb_dat_o => slave_o.dat, wb_ack_o => slave_o.ack,