Commit 61ff5053 authored by Maciej Lipinski's avatar Maciej Lipinski

Fixed AXI S src address for read/write

parent 9816a634
......@@ -70,7 +70,7 @@ entity diot_sys_acq_ip is
-- access to sys_top_sub_reg
s_axi_csr_awvalid : in std_logic;
s_axi_csr_awready : out std_logic;
s_axi_csr_awaddr : in std_logic_vector(7 downto 2);
s_axi_csr_awaddr : in std_logic_vector(39 downto 0);
-- s_axi_csr_awprot : in std_logic_vector(2 downto 0);
s_axi_csr_wvalid : in std_logic;
s_axi_csr_wready : out std_logic;
......@@ -81,7 +81,7 @@ entity diot_sys_acq_ip is
s_axi_csr_bresp : out std_logic_vector(1 downto 0);
s_axi_csr_arvalid : in std_logic;
s_axi_csr_arready : out std_logic;
s_axi_csr_araddr : in std_logic_vector(7 downto 2);
s_axi_csr_araddr : in std_logic_vector(39 downto 0);
-- s_axi_csr_arprot : in std_logic_vector(2 downto 0);
s_axi_csr_rvalid : out std_logic;
s_axi_csr_rready : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment