Commit cb30b5d1 authored by penacoba's avatar penacoba

cleaned up version of the PLL test synthesis results


git-svn-id: http://svn.ohwr.org/fmc-tdc@35 85dfdc96-de2c-444c-878d-45b388be74a9
parent b5ae1362
This diff is collapsed.
This diff is collapsed.
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
</messages>
This diff is collapsed.
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="Timing" num="3386" delta="new" >Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report.</msg>
<msg type="info" file="Timing" num="3339" delta="new" >The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</msg>
<msg type="info" file="Timing" num="2761" delta="new" >N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</msg>
</messages>
This diff is collapsed.
This source diff could not be displayed because it is too large. You can view the blob instead.
/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syn_tdc.edf 1310751057
/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syn_tdc.edf 1310975041
OK
This source diff could not be displayed because it is too large. You can view the blob instead.
Release 13.1 - par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:01 2011
Mon Jul 18 09:44:59 2011
# NOTE: This file is designed to be imported into a spreadsheet program
......@@ -190,7 +190,7 @@ F14||IOBM|IO_L36P_GCLK15_0|UNUSED||0|||||||||
F15||IOBS|IO_L36N_GCLK14_0|UNUSED||0|||||||||
F16||IOBS|IO_L37N_GCLK12_0|UNUSED||0|||||||||
F17||IOBS|IO_L51N_0|UNUSED||0|||||||||
F18|spec_aux4_o|IOB|IO_L1P_A25_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|NO|NONE|
F18|spec_aux4_o|IOB|IO_L1P_A25_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|YES|NONE|
F19||IOBS|IO_L1N_A24_VREF_1|UNUSED||1|||||||||
F20|spec_aux3_o|IOB|IO_L29N_A22_M1A14_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|NO|NONE|
F21|p2l_data_i(14)|IOB|IO_L31P_A19_M1CKE_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|NO|NONE|
......@@ -213,7 +213,7 @@ G15||IOBS|IO_L49N_0|UNUSED||0|||||||||
G16||IOBM|IO_L51P_0|UNUSED||0|||||||||
G17|||TDO||||||||||||
G18|||GND||||||||||||
G19|spec_aux2_o|IOB|IO_L29P_A23_M1A13_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|YES|NONE|
G19|spec_aux2_o|IOB|IO_L29P_A23_M1A13_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|NO|NONE|
G20|p2l_data_i(6)|IOB|IO_L35P_A11_M1A7_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|NO|NONE|
G21|||VCCO_1|||1|||||1.80||||
G22|p2l_data_i(5)|IOB|IO_L35N_A10_M1A2_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|NO|NONE|
......
Release 13.1 par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
lxplus427.cern.ch:: Fri Jul 15 19:31:40 2011
lxplus427.cern.ch:: Mon Jul 18 09:44:37 2011
par -ol high syn_tdc.ncd par_tdc
......@@ -27,11 +27,11 @@ Slice Logic Utilization:
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 176 out of 27,288 1%
Number using O6 output only: 108
Number using O5 output only: 30
Number using O5 and O6: 38
Number of Slice LUTs: 193 out of 27,288 1%
Number used as logic: 192 out of 27,288 1%
Number using O6 output only: 121
Number using O5 output only: 31
Number using O5 and O6: 40
Number used as ROM: 0
Number used as Memory: 0 out of 6,408 0%
Number used exclusively as route-thrus: 1
......@@ -40,11 +40,11 @@ Slice Logic Utilization:
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 63 out of 6,822 1%
Number of LUT Flip Flop pairs used: 179
Number with an unused Flip Flop: 93 out of 179 51%
Number with an unused LUT: 2 out of 179 1%
Number of fully used LUT-FF pairs: 84 out of 179 46%
Number of occupied Slices: 80 out of 6,822 1%
Number of LUT Flip Flop pairs used: 195
Number with an unused Flip Flop: 109 out of 195 55%
Number with an unused LUT: 2 out of 195 1%
Number of fully used LUT-FF pairs: 84 out of 195 43%
Number of slice register sites lost
to control set restrictions: 0 out of 54,576 0%
......@@ -166,29 +166,29 @@ WARNING:Par:288 - The signal int_flag_i_IBUF has no load. PAR will not attempt
Starting Router
Phase 1 : 1007 unrouted; REAL time: 7 secs
Phase 1 : 1136 unrouted; REAL time: 7 secs
Phase 2 : 716 unrouted; REAL time: 9 secs
Phase 2 : 827 unrouted; REAL time: 9 secs
Phase 3 : 149 unrouted; REAL time: 10 secs
Phase 3 : 180 unrouted; REAL time: 10 secs
Phase 4 : 150 unrouted; (Setup:19402, Hold:0, Component Switching Limit:0) REAL time: 12 secs
Phase 4 : 181 unrouted; (Setup:24717, Hold:0, Component Switching Limit:0) REAL time: 12 secs
Updating file: par_tdc.ncd with current fully routed design.
Phase 5 : 0 unrouted; (Setup:20177, Hold:0, Component Switching Limit:0) REAL time: 13 secs
Phase 5 : 0 unrouted; (Setup:27847, Hold:0, Component Switching Limit:0) REAL time: 12 secs
Phase 6 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 17 secs
Phase 6 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 17 secs
Updating file: par_tdc.ncd with current fully routed design.
Phase 7 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 7 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 8 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 8 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 9 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 9 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 10 : 0 unrouted; (Setup:17366, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 10 : 0 unrouted; (Setup:19904, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Total REAL time to Router completion: 20 secs
Total CPU time to Router completion: 20 secs
......@@ -208,9 +208,9 @@ Generating Clock Report
+---------------------+--------------+------+------+------------+-------------+
| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
+---------------------+--------------+------+------+------------+-------------+
| spec_clk | BUFGMUX_X2Y3| No | 26 | 0.659 | 2.387 |
| spec_clk | BUFGMUX_X2Y3| No | 42 | 0.669 | 2.387 |
+---------------------+--------------+------+------+------------+-------------+
| clk | BUFGMUX_X3Y7| No | 13 | 0.667 | 2.382 |
| clk | BUFGMUX_X3Y7| No | 13 | 0.659 | 2.382 |
+---------------------+--------------+------+------+------------+-------------+
* Net Skew is the difference between the minimum and maximum routing
......@@ -218,7 +218,7 @@ only delays for the net. Note this is different from Clock Skew which
is reported in TRCE timing report. Clock Skew is the difference between
the minimum and maximum path delays which includes logic delays.
Timing Score: 17366 (Setup: 17366, Hold: 0, Component Switching Limit: 0)
Timing Score: 19904 (Setup: 19904, Hold: 0, Component Switching Limit: 0)
WARNING:Par:468 - Your design did not meet timing. The following are some suggestions to assist you to meet timing in your design.
......@@ -249,15 +249,15 @@ Asterisk (*) preceding a constraint indicates it was not met.
P "spec_aux0_i" TO TIMEGRP "clk" 6 | HOLD | 6.080ns| | 0| 0
ns | | | | |
----------------------------------------------------------------------------------------------------------
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.369ns| 7.369ns| 8| 11437
i" 5 ns HIGH 50% | HOLD | 0.437ns| | 0| 0
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.332ns| 7.332ns| 17| 13975
i" 5 ns HIGH 50% | HOLD | 0.429ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_tdc_led_status_o_clk = MAXDELAY FROM T | MAXDELAY | 2.191ns| 3.809ns| 0| 0
IMEGRP "clk" TO TIMEGRP "tdc_led_ | | | | |
status_o" 6 ns | | | | |
----------------------------------------------------------------------------------------------------------
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.272ns| 4.728ns| 0| 0
0% | HOLD | 0.497ns| | 0| 0
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.102ns| 4.898ns| 0| 0
0% | HOLD | 0.463ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_spec_led_green_o_spec_clk = MAXDELAY F | MAXDELAY | 44.140ns| 3.860ns| 0| 0
ROM TIMEGRP "spec_clk" TO TIMEGRP | | | | |
......@@ -277,14 +277,14 @@ All signals are completely routed.
WARNING:Par:283 - There are 68 loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
Total REAL time to PAR completion: 22 secs
Total REAL time to PAR completion: 21 secs
Total CPU time to PAR completion: 21 secs
Peak Memory Usage: 504 MB
Peak Memory Usage: 511 MB
Placer: Placement generated during map.
Routing: Completed - No errors found.
Timing: Completed - 9 errors found.
Timing: Completed - 18 errors found.
Number of error messages: 0
Number of warning messages: 71
......
......@@ -329,4 +329,4 @@
<!ELEMENT twName (#PCDATA)>
<!ELEMENT twValue (#PCDATA)>
]>
<twReport><twBody><twSumRpt><twConstSummaryTable twEmptyConstraints = "1" ><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_aux0_i_clk = MAXDELAY FROM TIMEGRP &quot;spec_aux0_i&quot; TO TIMEGRP &quot;clk&quot; 6 ns</twConstName><twConstData type="SETUP" slack="-5.929" best="11.929" units="ns" errors="1" score="5929"/><twConstData type="HOLD" slack="6.080" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk_i = PERIOD TIMEGRP &quot;spec_clk_i&quot; 5 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="-2.369" best="7.369" units="ns" errors="8" score="11437"/><twConstData type="HOLD" slack="0.437" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_tdc_led_status_o_clk = MAXDELAY FROM TIMEGRP &quot;clk&quot; TO TIMEGRP &quot;tdc_led_status_o&quot; 6 ns</twConstName><twConstData type="MAXDELAY" slack="2.191" best="3.809" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_clk = PERIOD TIMEGRP &quot;clk&quot; 8 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="3.272" best="4.728" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.497" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_led_green_o_spec_clk = MAXDELAY FROM TIMEGRP &quot;spec_clk&quot; TO TIMEGRP &quot;spec_led_green_o&quot; 48 ns</twConstName><twConstData type="MAXDELAY" slack="44.140" best="3.860" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk = PERIOD TIMEGRP &quot;spec_clk&quot; 50 ns HIGH 50%</twConstName><twConstData type="MINPERIOD" slack="46.430" best="3.570" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="3">2</twUnmetConstCnt></twSumRpt></twBody></twReport>
<twReport><twBody><twSumRpt><twConstSummaryTable twEmptyConstraints = "1" ><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_aux0_i_clk = MAXDELAY FROM TIMEGRP &quot;spec_aux0_i&quot; TO TIMEGRP &quot;clk&quot; 6 ns</twConstName><twConstData type="SETUP" slack="-5.929" best="11.929" units="ns" errors="1" score="5929"/><twConstData type="HOLD" slack="6.080" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk_i = PERIOD TIMEGRP &quot;spec_clk_i&quot; 5 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="-2.332" best="7.332" units="ns" errors="17" score="13975"/><twConstData type="HOLD" slack="0.429" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_tdc_led_status_o_clk = MAXDELAY FROM TIMEGRP &quot;clk&quot; TO TIMEGRP &quot;tdc_led_status_o&quot; 6 ns</twConstName><twConstData type="MAXDELAY" slack="2.191" best="3.809" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_clk = PERIOD TIMEGRP &quot;clk&quot; 8 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="3.102" best="4.898" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.463" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_led_green_o_spec_clk = MAXDELAY FROM TIMEGRP &quot;spec_clk&quot; TO TIMEGRP &quot;spec_led_green_o&quot; 48 ns</twConstName><twConstData type="MAXDELAY" slack="44.140" best="3.860" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk = PERIOD TIMEGRP &quot;spec_clk&quot; 50 ns HIGH 50%</twConstName><twConstData type="MINPERIOD" slack="46.430" best="3.570" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="3">2</twUnmetConstCnt></twSumRpt></twBody></twReport>
......@@ -36,15 +36,15 @@ Asterisk (*) preceding a constraint indicates it was not met.
P "spec_aux0_i" TO TIMEGRP "clk" 6 | HOLD | 6.080ns| | 0| 0
ns | | | | |
----------------------------------------------------------------------------------------------------------
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.369ns| 7.369ns| 8| 11437
i" 5 ns HIGH 50% | HOLD | 0.437ns| | 0| 0
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.332ns| 7.332ns| 17| 13975
i" 5 ns HIGH 50% | HOLD | 0.429ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_tdc_led_status_o_clk = MAXDELAY FROM T | MAXDELAY | 2.191ns| 3.809ns| 0| 0
IMEGRP "clk" TO TIMEGRP "tdc_led_ | | | | |
status_o" 6 ns | | | | |
----------------------------------------------------------------------------------------------------------
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.272ns| 4.728ns| 0| 0
0% | HOLD | 0.497ns| | 0| 0
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.102ns| 4.898ns| 0| 0
0% | HOLD | 0.463ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_spec_led_green_o_spec_clk = MAXDELAY F | MAXDELAY | 44.140ns| 3.860ns| 0| 0
ROM TIMEGRP "spec_clk" TO TIMEGRP | | | | |
......@@ -53,14 +53,14 @@ Asterisk (*) preceding a constraint indicates it was not met.
TS_spec_clk = PERIOD TIMEGRP "spec_clk" 5 | MINPERIOD | 46.430ns| 3.570ns| 0| 0
0 ns HIGH 50% | | | | |
----------------------------------------------------------------------------------------------------------
Unconstrained OFFSET IN BEFORE analysis f | SETUP | N/A| 20.944ns| N/A| 0
Unconstrained OFFSET IN BEFORE analysis f | SETUP | N/A| 13.053ns| N/A| 0
or clock "spec_clk" | | | | |
----------------------------------------------------------------------------------------------------------
Unconstrained OFFSET OUT AFTER analysis f | MAXDELAY | N/A| 17.070ns| N/A| 0
Unconstrained OFFSET OUT AFTER analysis f | MAXDELAY | N/A| 19.174ns| N/A| 0
or clock "spec_clk" | | | | |
----------------------------------------------------------------------------------------------------------
Unconstrained path analysis | MAXDELAY | N/A| 17.050ns| N/A| 0
| HOLD | 1.402ns| | 0| 0
| HOLD | 2.135ns| | 0| 0
----------------------------------------------------------------------------------------------------------
......@@ -80,9 +80,9 @@ Setup/Hold to clock spec_clk_i
|Max Setup to| Process |Max Hold to | Process | | Clock |
Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase |
------------+------------+------------+------------+------------+------------------+--------+
rst_n_a_i | 20.944(R)| SLOW | -0.113(R)| SLOW |spec_clk | 0.000|
rst_n_a_i | 13.053(R)| SLOW | -1.570(R)| SLOW |spec_clk | 0.000|
spec_aux0_i | 8.165(R)| SLOW | -4.426(R)| FAST |spec_clk | 0.000|
spec_aux1_i | 18.568(R)| SLOW | -2.071(R)| FAST |spec_clk | 0.000|
spec_aux1_i | 9.761(R)| SLOW | -1.373(R)| SLOW |spec_clk | 0.000|
------------+------------+------------+------------+------------+------------------+--------+
Setup/Hold to clock tdc_clk_n_i
......@@ -106,12 +106,12 @@ Clock spec_clk_i to Pad
|Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock |
Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase |
---------------+-----------------+------------+-----------------+------------+------------------+--------+
pll_cs_o | 14.728(R)| SLOW | 6.361(R)| FAST |spec_clk | 0.000|
pll_cs_o | 14.889(R)| SLOW | 6.586(R)| FAST |spec_clk | 0.000|
pll_sclk_o | 8.380(R)| SLOW | 3.127(R)| FAST |spec_clk | 0.000|
pll_sdi_o | 17.070(R)| SLOW | 6.277(R)| FAST |spec_clk | 0.000|
spec_aux2_o | 8.249(R)| SLOW | 2.997(R)| FAST |spec_clk | 0.000|
spec_aux3_o | 15.826(R)| SLOW | 5.584(R)| FAST |spec_clk | 0.000|
spec_aux4_o | 14.681(R)| SLOW | 6.332(R)| FAST |spec_clk | 0.000|
pll_sdi_o | 19.174(R)| SLOW | 6.499(R)| FAST |spec_clk | 0.000|
spec_aux2_o | 18.339(R)| SLOW | 6.040(R)| FAST |spec_clk | 0.000|
spec_aux3_o | 15.031(R)| SLOW | 6.706(R)| FAST |spec_clk | 0.000|
spec_aux4_o | 8.266(R)| SLOW | 3.014(R)| FAST |spec_clk | 0.000|
spec_led_red_o | 8.412(R)| SLOW | 3.209(R)| FAST |spec_clk | 0.000|
tdc_led_trig1_o| 8.381(R)| SLOW | 3.128(R)| FAST |spec_clk | 0.000|
---------------+-----------------+------------+-----------------+------------+------------------+--------+
......@@ -139,9 +139,9 @@ Clock to Setup on destination clock spec_clk_i
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
spec_clk_i | 7.369| | | |
tdc_clk_n_i | 4.475| | | |
tdc_clk_p_i | 4.475| | | |
spec_clk_i | 7.332| | | |
tdc_clk_n_i | 5.950| | | |
tdc_clk_p_i | 5.950| | | |
---------------+---------+---------+---------+---------+
Clock to Setup on destination clock tdc_clk_n_i
......@@ -149,9 +149,9 @@ Clock to Setup on destination clock tdc_clk_n_i
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
spec_clk_i | 7.224| | | |
tdc_clk_n_i | 4.728| | | |
tdc_clk_p_i | 4.728| | | |
spec_clk_i | 11.383| | | |
tdc_clk_n_i | 4.898| | | |
tdc_clk_p_i | 4.898| | | |
---------------+---------+---------+---------+---------+
Clock to Setup on destination clock tdc_clk_p_i
......@@ -159,9 +159,9 @@ Clock to Setup on destination clock tdc_clk_p_i
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
spec_clk_i | 7.224| | | |
tdc_clk_n_i | 4.728| | | |
tdc_clk_p_i | 4.728| | | |
spec_clk_i | 11.383| | | |
tdc_clk_n_i | 4.898| | | |
tdc_clk_p_i | 4.898| | | |
---------------+---------+---------+---------+---------+
Pad to Pad
......@@ -169,30 +169,30 @@ Pad to Pad
Source Pad |Destination Pad | Delay |
---------------+----------------+---------+
pll_ld_i |spec_led_green_o| 12.366|
rst_n_a_i |spec_aux5_o | 11.006|
rst_n_a_i |spec_aux5_o | 14.919|
spec_aux0_i |tdc_led_trig3_o | 15.691|
spec_aux0_i |tdc_led_trig4_o | 15.691|
spec_aux0_i |tdc_led_trig5_o | 17.050|
spec_aux1_i |spec_aux5_o | 8.917|
spec_aux1_i |spec_aux5_o | 8.387|
---------------+----------------+---------+
Timing summary:
---------------
Timing errors: 9 Score: 17366 (Setup/Max: 17366, Hold: 0)
Timing errors: 18 Score: 19904 (Setup/Max: 19904, Hold: 0)
Constraints cover 5229 paths, 0 nets, and 709 connections
Constraints cover 5298 paths, 0 nets, and 836 connections
Design statistics:
Minimum period: 11.929ns (Maximum frequency: 83.829MHz)
Maximum combinational path delay: 17.050ns
Maximum path delay from/to any node: 11.929ns
Minimum input required time before clock: 20.944ns
Maximum output delay after clock: 17.070ns
Minimum input required time before clock: 13.053ns
Maximum output delay after clock: 19.174ns
Analysis completed Fri Jul 15 19:32:08 2011
Analysis completed Mon Jul 18 09:45:06 2011
--------------------------------------------------------------------------------
Trace Settings:
......
This diff is collapsed.
Release 13.1 - par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:02 2011
Mon Jul 18 09:44:59 2011
All signals are completely routed.
......
#Release 13.1 - par O.40d (lin64)
#Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
#Fri Jul 15 19:32:01 2011
#Mon Jul 18 09:44:59 2011
#
## NOTE: This file is designed to be imported into a spreadsheet program
......@@ -190,7 +190,7 @@ F14,,IOBM,IO_L36P_GCLK15_0,UNUSED,,0,,,,,,,,,
F15,,IOBS,IO_L36N_GCLK14_0,UNUSED,,0,,,,,,,,,
F16,,IOBS,IO_L37N_GCLK12_0,UNUSED,,0,,,,,,,,,
F17,,IOBS,IO_L51N_0,UNUSED,,0,,,,,,,,,
F18,spec_aux4_o,IOB,IO_L1P_A25_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,NO,NONE,
F18,spec_aux4_o,IOB,IO_L1P_A25_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,YES,NONE,
F19,,IOBS,IO_L1N_A24_VREF_1,UNUSED,,1,,,,,,,,,
F20,spec_aux3_o,IOB,IO_L29N_A22_M1A14_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,NO,NONE,
F21,p2l_data_i(14),IOB,IO_L31P_A19_M1CKE_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,NO,NONE,
......@@ -213,7 +213,7 @@ G15,,IOBS,IO_L49N_0,UNUSED,,0,,,,,,,,,
G16,,IOBM,IO_L51P_0,UNUSED,,0,,,,,,,,,
G17,,,TDO,,,,,,,,,,,,
G18,,,GND,,,,,,,,,,,,
G19,spec_aux2_o,IOB,IO_L29P_A23_M1A13_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,YES,NONE,
G19,spec_aux2_o,IOB,IO_L29P_A23_M1A13_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,NO,NONE,
G20,p2l_data_i(6),IOB,IO_L35P_A11_M1A7_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,NO,NONE,
G21,,,VCCO_1,,,1,,,,,1.80,,,,
G22,p2l_data_i(5),IOB,IO_L35N_A10_M1A2_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,NO,NONE,
......
Release 13.1 - par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:02 2011
Mon Jul 18 09:44:59 2011
INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are:
......@@ -191,7 +191,7 @@ Pinout by Pin Number:
|F15 | |IOBS |IO_L36N_GCLK14_0 |UNUSED | |0 | | | | | | | | |
|F16 | |IOBS |IO_L37N_GCLK12_0 |UNUSED | |0 | | | | | | | | |
|F17 | |IOBS |IO_L51N_0 |UNUSED | |0 | | | | | | | | |
|F18 |spec_aux4_o |IOB |IO_L1P_A25_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |NO |NONE |
|F18 |spec_aux4_o |IOB |IO_L1P_A25_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |YES |NONE |
|F19 | |IOBS |IO_L1N_A24_VREF_1 |UNUSED | |1 | | | | | | | | |
|F20 |spec_aux3_o |IOB |IO_L29N_A22_M1A14_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |NO |NONE |
|F21 |p2l_data_i(14) |IOB |IO_L31P_A19_M1CKE_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |NO |NONE |
......@@ -214,7 +214,7 @@ Pinout by Pin Number:
|G16 | |IOBM |IO_L51P_0 |UNUSED | |0 | | | | | | | | |
|G17 | | |TDO | | | | | | | | | | | |
|G18 | | |GND | | | | | | | | | | | |
|G19 |spec_aux2_o |IOB |IO_L29P_A23_M1A13_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |YES |NONE |
|G19 |spec_aux2_o |IOB |IO_L29P_A23_M1A13_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |NO |NONE |
|G20 |p2l_data_i(6) |IOB |IO_L35P_A11_M1A7_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |NO |NONE |
|G21 | | |VCCO_1 | | |1 | | | | |1.80 | | | |
|G22 |p2l_data_i(5) |IOB |IO_L35N_A10_M1A2_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |NO |NONE |
......
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="ParStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>269</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>709</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>709</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>601</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>7.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>287</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>836</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>836</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>711</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>9.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>10.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>10.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>11.9 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>12.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>17.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>20.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>20.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>20.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>20.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>12.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>17.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>20.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>20.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>20.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>20.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>2.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>3.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>7.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>12.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>7.2</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>5.4</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>4.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>8.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>1.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>4.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>8.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0271</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0277</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
......@@ -14,7 +14,7 @@ Name Total elements Utilization Notes
REGISTERS 92 100 %
LATCHES 0 0 %
======================================================
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.70 % Utilization)
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.04 % Utilization)
COMBINATIONAL LOGIC
......@@ -22,13 +22,13 @@ COMBINATIONAL LOGIC
Name Total elements Utilization Notes
-----------------------------------------------------------------
LUTS 178 100 %
LUTS 196 100 %
MUXCY 70 100 %
XORCY 71 100 %
MULT18x18/MULT18x18S 1 100 %
SRL16 0 0 %
=================================================================
Total COMBINATIONAL LOGIC in the block top_tdc: 320 (65.04 % Utilization)
Total COMBINATIONAL LOGIC in the block top_tdc: 338 (66.27 % Utilization)
MEMORY ELEMENTS
......@@ -69,7 +69,7 @@ Name Total elements Utilization Notes
-------------------------------------------------
PADS 66 100 %
=================================================
Total IO PADS in the block top_tdc: 66 (13.41 % Utilization)
Total IO PADS in the block top_tdc: 66 (12.94 % Utilization)
#### START OF Block RAM DETAILED REPORT ####
......
......@@ -16,7 +16,7 @@ Name Total elements Utilization Notes
REGISTERS 92 100 %
LATCHES 0 0 %
======================================================
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.70 % Utilization)
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.04 % Utilization)
<a href="#TopSummary"><h5 align="right">Top</h5></a>
......@@ -25,13 +25,13 @@ COMBINATIONAL LOGIC
Name Total elements Utilization Notes
-----------------------------------------------------------------
LUTS 178 100 %
LUTS 196 100 %
MUXCY 70 100 %
XORCY 71 100 %
MULT18x18/MULT18x18S 1 100 %
SRL16 0 0 %
=================================================================
Total COMBINATIONAL LOGIC in the block top_tdc: 320 (65.04 % Utilization)
Total COMBINATIONAL LOGIC in the block top_tdc: 338 (66.27 % Utilization)
<a href="#TopSummary"><h5 align="right">Top</h5></a>
......@@ -76,7 +76,7 @@ Name Total elements Utilization Notes
-------------------------------------------------
PADS 66 100 %
=================================================
Total IO PADS in the block top_tdc: 66 (13.41 % Utilization)
Total IO PADS in the block top_tdc: 66 (12.94 % Utilization)
<a href="#TopSummary"><h5 align="right">Top</h5></a>
#### START OF Block RAM DETAILED REPORT ####
......
#-- Synopsys, Inc.
#-- Version D-2010.03
#-- Project file /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/run_options.txt
#-- Written on Fri Jul 15 19:30:52 2011
#-- Written on Mon Jul 18 09:43:56 2011
#project files
......
#-- Synopsys, Inc.
#-- Version D-2010.03
#-- Project file /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/scratchproject.prs
#-- Written on Fri Jul 15 19:30:52 2011
#-- Written on Mon Jul 18 09:43:56 2011
#project files
......
......@@ -37,10 +37,10 @@ NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
Total memory usage is 311956 kilobytes
Total memory usage is 312080 kilobytes
Writing NGD file "syn_tdc.ngd" ...
Total REAL time to NGDBUILD completion: 9 sec
Total REAL time to NGDBUILD completion: 10 sec
Total CPU time to NGDBUILD completion: 3 sec
Writing NGDBUILD log file "syn_tdc.bld"...
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -8,7 +8,7 @@ Target Device : xc6slx45t
Target Package : fgg484
Target Speed : -2
Mapper Version : spartan6 -- $Revision: 1.55 $
Mapped Date : Fri Jul 15 19:31:22 2011
Mapped Date : Mon Jul 18 09:44:18 2011
Mapping design into LUTs...
Writing file syn_tdc.ngm...
......@@ -19,59 +19,59 @@ INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
Running timing-driven placement...
Total REAL time at the beginning of Placer: 8 secs
Total CPU time at the beginning of Placer: 8 secs
Total CPU time at the beginning of Placer: 7 secs
Phase 1.1 Initial Placement Analysis
Phase 1.1 Initial Placement Analysis (Checksum:8e69df18) REAL time: 9 secs
Phase 1.1 Initial Placement Analysis (Checksum:8e53cbb8) REAL time: 9 secs
Phase 2.7 Design Feasibility Check
INFO:Place:834 - Only a subset of IOs are locked. Out of 135 IOs, 134 are locked
and 1 are not locked. If you would like to print the names of these IOs,
please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.
Phase 2.7 Design Feasibility Check (Checksum:8e69df18) REAL time: 9 secs
Phase 2.7 Design Feasibility Check (Checksum:8e53cbb8) REAL time: 9 secs
Phase 3.31 Local Placement Optimization
Phase 3.31 Local Placement Optimization (Checksum:8e69df18) REAL time: 9 secs
Phase 3.31 Local Placement Optimization (Checksum:8e53cbb8) REAL time: 9 secs
Phase 4.2 Initial Placement for Architecture Specific Features
Phase 4.2 Initial Placement for Architecture Specific Features
(Checksum:4ab12e71) REAL time: 14 secs
(Checksum:5135e245) REAL time: 14 secs
Phase 5.36 Local Placement Optimization
Phase 5.36 Local Placement Optimization (Checksum:4ab12e71) REAL time: 14 secs
Phase 5.36 Local Placement Optimization (Checksum:5135e245) REAL time: 14 secs
Phase 6.30 Global Clock Region Assignment
Phase 6.30 Global Clock Region Assignment (Checksum:4ab12e71) REAL time: 14 secs
Phase 6.30 Global Clock Region Assignment (Checksum:5135e245) REAL time: 14 secs
Phase 7.3 Local Placement Optimization
Phase 7.3 Local Placement Optimization (Checksum:7f94c7c9) REAL time: 14 secs
Phase 7.3 Local Placement Optimization (Checksum:31c1d851) REAL time: 14 secs
Phase 8.5 Local Placement Optimization
Phase 8.5 Local Placement Optimization (Checksum:7f94c7c9) REAL time: 14 secs
Phase 8.5 Local Placement Optimization (Checksum:31c1d851) REAL time: 14 secs
Phase 9.8 Global Placement
..............
......................
................
........
Phase 9.8 Global Placement (Checksum:e99791f2) REAL time: 15 secs
............
.................................................................
.......................
...
Phase 9.8 Global Placement (Checksum:78e18431) REAL time: 15 secs
Phase 10.5 Local Placement Optimization
Phase 10.5 Local Placement Optimization (Checksum:e99791f2) REAL time: 15 secs
Phase 10.5 Local Placement Optimization (Checksum:78e18431) REAL time: 15 secs
Phase 11.18 Placement Optimization
Phase 11.18 Placement Optimization (Checksum:708689a4) REAL time: 16 secs
Phase 11.18 Placement Optimization (Checksum:fe7345b3) REAL time: 17 secs
Phase 12.5 Local Placement Optimization
Phase 12.5 Local Placement Optimization (Checksum:708689a4) REAL time: 16 secs
Phase 12.5 Local Placement Optimization (Checksum:fe7345b3) REAL time: 17 secs
Phase 13.34 Placement Validation
Phase 13.34 Placement Validation (Checksum:6ccb73df) REAL time: 16 secs
Phase 13.34 Placement Validation (Checksum:f80c1b30) REAL time: 17 secs
Total REAL time to Placer completion: 16 secs
Total CPU time to Placer completion: 15 secs
Total REAL time to Placer completion: 17 secs
Total CPU time to Placer completion: 17 secs
Running post-placement packing...
Writing output files...
WARNING:PhysDesignRules:367 - The signal <p2l_data_i(0)_IBUF> is incomplete. The
......@@ -227,11 +227,11 @@ Slice Logic Utilization:
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 176 out of 27,288 1%
Number using O6 output only: 108
Number using O5 output only: 30
Number using O5 and O6: 38
Number of Slice LUTs: 193 out of 27,288 1%
Number used as logic: 192 out of 27,288 1%
Number using O6 output only: 121
Number using O5 output only: 31
Number using O5 and O6: 40
Number used as ROM: 0
Number used as Memory: 0 out of 6,408 0%
Number used exclusively as route-thrus: 1
......@@ -240,11 +240,11 @@ Slice Logic Utilization:
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 63 out of 6,822 1%
Number of LUT Flip Flop pairs used: 179
Number with an unused Flip Flop: 93 out of 179 51%
Number with an unused LUT: 2 out of 179 1%
Number of fully used LUT-FF pairs: 84 out of 179 46%
Number of occupied Slices: 80 out of 6,822 1%
Number of LUT Flip Flop pairs used: 195
Number with an unused Flip Flop: 109 out of 195 55%
Number with an unused LUT: 2 out of 195 1%
Number of fully used LUT-FF pairs: 84 out of 195 43%
Number of unique control sets: 6
Number of slice register sites lost
to control set restrictions: 18 out of 54,576 1%
......@@ -289,11 +289,11 @@ Specific Feature Utilization:
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
Average Fanout of Non-Clock Nets: 2.20
Average Fanout of Non-Clock Nets: 2.34
Peak Memory Usage: 606 MB
Total REAL time to MAP completion: 17 secs
Total CPU time to MAP completion: 16 secs
Peak Memory Usage: 607 MB
Total REAL time to MAP completion: 18 secs
Total CPU time to MAP completion: 17 secs
Mapping completed.
See MAP report file "syn_tdc.mrp" for details.
This diff is collapsed.
This source diff could not be displayed because it is too large. You can view the blob instead.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
//! **************************************************************************
// Written by: Map O.40d on Fri Jul 15 19:31:38 2011
// Written by: Map O.40d on Mon Jul 18 09:44:36 2011
//! **************************************************************************
SCHEMATIC START;
......@@ -153,6 +153,7 @@ TIMEGRP spec_clk_i = BEL "clks_rsts_mgment.spec_clk_gbuf" BEL
BEL "clks_rsts_mgment.bit_index[2]" BEL
"clks_rsts_mgment.bit_index[3]" BEL "clks_rsts_mgment.pll_sclk" PIN
"spec_led_red_counter.value[31:0]_pins<92>" BEL
"clks_rsts_mgment.general_poreset.count_done_rep0_i" BEL
"clks_rsts_mgment.general_poreset.value[0]" BEL
"clks_rsts_mgment.general_poreset.value[1]" BEL
"clks_rsts_mgment.general_poreset.value[2]" BEL
......@@ -185,8 +186,7 @@ TIMEGRP spec_clk_i = BEL "clks_rsts_mgment.spec_clk_gbuf" BEL
"clks_rsts_mgment.general_poreset.value[29]" BEL
"clks_rsts_mgment.general_poreset.value[30]" BEL
"clks_rsts_mgment.general_poreset.value[31]" BEL "spec_led_red_oreg"
BEL "clks_rsts_mgment.pll_sclk_oreg" BEL
"clks_rsts_mgment.pll_sclk_oreg_0" PIN
BEL "clks_rsts_mgment.pll_sclk_oreg" PIN
"clks_rsts_mgment.un7_word_being_sent_0_0_pins<26>" BEL
"tdc_led_trig1_o" BEL "spec_led_red" BEL
"spec_led_red_counter.count_done" BEL
......@@ -203,6 +203,7 @@ TIMEGRP spec_clk = BEL "clks_rsts_mgment.general_poreset.count_done" BEL
BEL "clks_rsts_mgment.bit_index[2]" BEL
"clks_rsts_mgment.bit_index[3]" BEL "clks_rsts_mgment.pll_sclk" PIN
"spec_led_red_counter.value[31:0]_pins<92>" BEL
"clks_rsts_mgment.general_poreset.count_done_rep0_i" BEL
"clks_rsts_mgment.general_poreset.value[0]" BEL
"clks_rsts_mgment.general_poreset.value[1]" BEL
"clks_rsts_mgment.general_poreset.value[2]" BEL
......@@ -235,8 +236,7 @@ TIMEGRP spec_clk = BEL "clks_rsts_mgment.general_poreset.count_done" BEL
"clks_rsts_mgment.general_poreset.value[29]" BEL
"clks_rsts_mgment.general_poreset.value[30]" BEL
"clks_rsts_mgment.general_poreset.value[31]" BEL "spec_led_red_oreg"
BEL "clks_rsts_mgment.pll_sclk_oreg" BEL
"clks_rsts_mgment.pll_sclk_oreg_0" PIN
BEL "clks_rsts_mgment.pll_sclk_oreg" PIN
"clks_rsts_mgment.un7_word_being_sent_0_0_pins<26>" BEL
"tdc_led_trig1_o" BEL "spec_led_red" BEL
"spec_led_red_counter.count_done" BEL
......
......@@ -186,99 +186,99 @@ H8R0OC_D80_#N#0k;H
NRD3OFRO "O08_ OD4".6;H
NRD3OF_O CC8oRH"s#;C"
RNH3FODOC _MDNLCMR":O08_8DC_kOFM80_F"MC;H
NR$3#MsO_C0#CR:"MoCCMs_NDsCC#0
";oBbR;b
NR#3H_FODO4 R;b
NRD3OFRO "O08_ OD4".6;b
NRD3OF_O CC8oRH"s#;C"
H
oRC#bOC_D8C_s8N;
HOR3D FORb"#COO_Dj ."N;
HOR3D FO_oC8CsR"H"#C;H
NRD3OF_O CLMND"CRMb:#CDO_CO8_F0kM_M8FC
";N3HR#O$M_#sCC"0RMb:#CNO_k_G6F
";oBbR;b
NR#3H_FODO4 R;b
NRD3OFRO "C#bOD_O ".j;b
NRD3OF_O CC8oRH"s#;C"
RoM#ObC_ OD_
H;N3MRHO#_D FOR
4;o#MRb_COO;D
RNM3_H#OODF ;R4
RNM3FODO" R#ObC_ OD.;j"
RNM3FODOC _8RoC"#sHC
";N3MRHo#_N80C_FODO4 R;o
HbR#CDO_Cs8_C
8;oBbR;b
NR#3H_FODO4 R;b
NR#3H_0oNCO8_D FOR
4;
RoH#ObC_8DC_8sC_kOFMs0C;b
oR OD;b
NR#3H_FODO4 R;b
NR#3H_0oNCO8_D FOR
4;
RoH0_8OD_C80osH4;_F
RobBN;
bHR3#D_OFRO 4N;
bHR3#N_o0_C8OODF ;R4
RoMO;D
RNM3_H#OODF ;R4
RNM3FODO" R0_8OO4D .;6"
RNM3FODOC _8RoC"#sHC
";N3MRHo#_N80C_FODO4 R;o
H8R0OC_D8F_OkCM0so;
bDRO N;
bHR3#D_OFRO 4N;
bHR3#N_o0_C8OODF ;R4
H
oRO08_8DC_N#00;k#
RobBN;
bHR3#D_OFRO 4N;
bHR3#N_o0_C8OODF ;R4
H
oRO08_8DC_H0soF._;b
NR$3#MsO_C0#CR:"M#ObC_GNkc"_F;b
oR
B;N3bRHO#_D FOR
4;N3bRHo#_N80C_FODO4 R;P
NR$3#MF_OlDbHCF_bHRM04N;
POR3FHlbDbC_F0HM_lMNCFR0b8_0O
4;N3bROODF 0R"8OO_D. 46
";N3bROODF 8_Co"CRsCH#"
;
8bR#CDO_Cs8_CO8_F0kMC
s;o8HRCkOFM30\k_M.CLMNDRC;N3HRs_0DO#FM0sR0k
C;
RoHOMFk0F_8M
C;N3HROODF #R"b_COO.D j
";N3HROODF 8_Co"CRsCH#"N;
HOR3D FO_NCMLRDC"#M:b_COD_C8s_C8OMFk03Cse"BB;H
o#HRb_COD_C8s;C8
RNH3FODO" R#ObC_ OD.;j"
RNH3FODOC _8RoC"#sHC
";N3HROODF M_CNCLDR:"M#ObC_8DC_kOFM80_F"MC;H
NR$3#MsO_C0#CR:"M#ObC_GNk6"_F;b
oR
B;N3bRHO#_D FOR
4;N3bROODF #R"b_COO.D j
";N3bROODF 8_Co"CRsCH#"
;
oPHRNCDkr:d4j
9;N3HROODF #R"b_COO.D j
";N3HROODF 8_Co"CRsCH#"o;
";N3bROODF 8_Co"CRsCH#"o;
MbR#COO_DH _;M
NR#3H_FODO4 R;M
oRC#bOD_O N;
MHR3#D_OFRO 4N;
MOR3D FORb"#COO_Dj ."N;
MOR3D FO_oC8CsR"H"#C;M
NR#3H_0oNCO8_D FOR
4;
RoH#ObC_8DC_8sC;b
oR
B;N3bRHO#_D FOR
4;N3bRHo#_N80C_FODO4 R;o
HbR#CDO_Cs8_CO8_F0kMC
s;oObRD
;N3bRHO#_D FOR
4;N3bRHo#_N80C_FODO4 R;o
H8R0OC_D8s_0H_o4Fo;
b;RB
RNb3_H#OODF ;R4
RNb3FODO" R#ObC_ OD.;j"
RNb3FODOC _8RoC"#sHC
";oOMRD
;N3MRHO#_D FOR
4;N3MROODF #R"b_COO.D j
";N3MROODF 8_Co"CRsCH#"
RNb3_H#oCN08D_OFRO 4o;
MDRO N;
MHR3#D_OFRO 4N;
MOR3D FOR8"0OD_O 64."N;
MOR3D FO_oC8CsR"H"#C;M
NR#3H_0oNCO8_D FOR
4;
RoH0_8OD_C8OMFk0;Cs
RobO;D
RNb3_H#OODF ;R4
RNb3_H#oCN08D_OFRO 4
;
88R0OC_D8F_OkCM0so;
HCR8OMFk0k\3MC._MDNLCN;RHsR30OD_F0M#Rk0sC
o0HR8DO_C#8_0kN0#o;
b;RB
RNb3_H#OODF ;R4
RNb3_H#oCN08D_OFRO 4
;
oOHRF0kM_M8FCN;
HOR3D FOR8"0OD_O 64."N;
HOR3D FO_oC8CsR"H"#C;H
NRD3OF_O CLMND"CRM8:0OC_D8F_OkCM0sB3eB
";N3HR#O$M_#sCC"0RMC:oMNCsDC_s#"C0;b
o0HR8DO_C08_s.Ho_
F;oBbR;b
NR#3H_FODO4 R;b
NR#3H_0oNCO8_D FOR
4;N3PR#_$MObFlH_DCbMFH0;R4
RNP3lOFbCHD_HbFMM0_NRlC0_Fb0;8O
R
8#ObC_8DC_8sC_kOFMs0C;H
oRO8CF0kM\M3k.M_CNCLD;HRNR03sDF_OMR#00Csk;o
HFROk_M08CFM;H
NRD3OFRO "C#bOD_O ".j;H
NRD3OF_O CC8oRH"s#;C"
RNH3FODOC _MDNLCMR":C#bOC_D8C_s8F_OkCM0sB3eB
";N3HR#O$M_#sCC"0RMb:#CNO_k_G6F
";oBbR;b
NR#3H_FODO4 R;b
NRD3OFRO "C#bOD_O ".j;b
NRD3OF_O CC8oRH"s#;C"
H
oRDPNkdCr49:j;H
NRD3OFRO "C#bOD_O ".j;H
NRD3OF_O CC8oRH"s#;C"
RobBN;
bHR3#D_OFRO 4N;
bOR3D FORb"#COO_Dj ."N;
bOR3D FO_oC8CsR"H"#C;M
oR OD;M
NR#3H_FODO4 R;M
NRD3OFRO "C#bOD_O ".j;M
NRD3OF_O CC8oRH"s#;C"
R
80_8OD_C8OMFk0;Cs
RoH8FCOk\M03.kM_NCML;DCRRNH3Ds0_MOF#00Rs;kC
H
oRkOFM80_F;MC
RNH3FODO" R0_8OO4D .;6"
RNH3FODOC _8RoC"#sHC
";N3HROODF M_CNCLDR:"M0_8OD_C8OMFk03Cse"BB;H
NR$3#MsO_C0#CR:"M#ObC_GNkc"_F;b
oR
B;N3bRHO#_D FOR
4;N3bROODF 0R"8OO_D. 46
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Fri Jul 15 19:31:20 2011">
<application stringID="NgdBuild" timeStamp="Mon Jul 18 09:44:16 2011">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -80,9 +80,9 @@
<item dataType="int" stringID="NGDBUILD_NUM_DSP48A1" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="13"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="23"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="22"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRE" value="34"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDS" value="21"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDS" value="22"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="72"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUFDS" value="1"/>
......@@ -90,16 +90,16 @@
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="32"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1_L" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="14"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2_L" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="16"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_L" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5_L" value="34"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="17"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_L" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5_L" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="30"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6_2" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6_L" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6_L" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY_L" value="70"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="60"/>
<item dataType="int" stringID="NGDBUILD_NUM_RAMB8BWER" value="1"/>
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Selecting top level module DSP48A1
@N: CG364 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4561:7:4561:13|Synthesizing module DSP48A1
@W: CG532 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4689:4:4689:10|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4730:12:4730:19|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4731:12:4731:18|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4741:26:4741:33|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4742:26:4742:32|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4886:19:4886:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4887:19:4887:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4924:19:4924:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4925:19:4925:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4965:19:4965:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4966:19:4966:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5008:19:5008:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5009:19:5009:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5048:19:5048:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5049:19:5049:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5088:19:5088:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5089:19:5089:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5105:19:5105:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5106:19:5106:25|System task $finish is not supported yet
@W: CG296 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5114:13:5114:87|Incomplete sensitivity list - assuming completeness
@W: CG290 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5118:12:5118:19|Referenced variable add_flag is not in sensitivity list
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5160:19:5160:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5161:19:5161:25|System task $finish is not supported yet
@W: CG133 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4650:18:4650:24|No assignment to b_o_mux
@W: CG133 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4667:8:4667:21|No assignment to invalid_opmode
@W: CG360 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4673:22:4673:28|No assignment to wire bcin_in
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5071:4:5071:9|Pruning Register qopmode_o_reg1[7:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5029:4:5029:9|Pruning Register qcarryin_o_reg1
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5029:4:5029:9|Pruning Register qcarryout_o_reg1
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4949:4:4949:9|Pruning Register qmult_o_reg1[35:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4908:4:4908:9|Pruning Register qd_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4870:4:4870:9|Pruning Register qc_o_reg1[47:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4807:4:4807:9|Pruning Register qb_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4807:4:4807:9|Pruning Register qb_o_reg2[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4766:4:4766:9|Pruning Register qa_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4766:4:4766:9|Pruning Register qa_o_reg2[17:0]
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4589:10:4589:16|Input CARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4590:10:4590:12|Input CEA is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4591:10:4591:12|Input CEB is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4592:10:4592:12|Input CEC is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4593:10:4593:18|Input CECARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4594:10:4594:12|Input CED is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4595:10:4595:12|Input CEM is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4596:10:4596:17|Input CEOPMODE is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4602:10:4602:13|Input RSTA is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4603:10:4603:13|Input RSTB is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4604:10:4604:13|Input RSTC is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4605:10:4605:19|Input RSTCARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4606:10:4606:13|Input RSTD is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4607:10:4607:13|Input RSTM is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4608:10:4608:18|Input RSTOPMODE is unused
This diff is collapsed.
@P: Worst Slack : -2.997
@P: spec_clk20 - Estimated Frequency : 104.2 MHz
@P: spec_clk20 - Requested Frequency : 20.0 MHz
@P: spec_clk20 - Estimated Period : 9.592
@P: spec_clk20 - Requested Period : 50.000
@P: spec_clk20 - Slack : 40.408
@P: tdc_clk125 - Estimated Frequency : 90.9 MHz
@P: tdc_clk125 - Requested Frequency : 125.0 MHz
@P: tdc_clk125 - Estimated Period : 10.997
@P: tdc_clk125 - Requested Period : 8.000
@P: tdc_clk125 - Slack : -2.997
@P: top_tdc|spec_clk_i - Estimated Frequency : 167.5 MHz
@P: top_tdc|spec_clk_i - Requested Frequency : 200.0 MHz
@P: top_tdc|spec_clk_i - Estimated Period : 5.971
@P: top_tdc|spec_clk_i - Requested Period : 5.000
@P: top_tdc|spec_clk_i - Slack : -0.971
@P: top_tdc Part : xc6slx45tfgg484-2
@P: top_tdc I/O primitives : 66
@P: top_tdc I/O Register bits : 6
@P: top_tdc Register bits (Non I/O) : 86 (0%)
@P: top_tdc Block Rams : 1 of 116 (0%)
@P: top_tdc Total Luts : 178 (0%)
@P: CPU Time : 0h:00m:03s
<table border="0" cellpadding="0" cellspacing="2">
<tr>
<td nowrap class="content" valign="top">
<body bgcolor="#e0e0ff">
<font size=3><b>Log File Links:</b><br></font>
<br><b>test_tdc_pll</b><br>
<dt><a href="/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/rpt_top_tdc.areasrr:@XP_FILE" target="srrFrame">Hierarchical Area Report (/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/rpt_top_tdc)</a> (19:30 15-Jul)</dt><br>
<br><br><a href="/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/stdout.log:@XP_FILE" target="srrFrame">Session Log</a><br>
This diff is collapsed.
<table border="0" cellpadding="0" cellspacing="2">
<tr>
<td nowrap class="content" valign="top">
<body bgcolor="#e0e0ff">
<dl>
<font size=3><b>test_tdc_pll (syn_tdc)</b><br></font>
<b><a href="syn_tdc_srr.htm#compilerReport512" target="srrFrame">Compiler Report</a></b><br>
<b><a href="syn_tdc_srr.htm#mapperReport513" target="srrFrame">Mapper Report</a></b><br>
<b><a href="syn_tdc_srr.htm#timingReport514" target="srrFrame">Timing Report</a></b><br>
<a href="syn_tdc_srr.htm#performanceSummary515" target="srrFrame">Performance Summary</a><br>
<a href="syn_tdc_srr.htm#clockRelationships516" target="srrFrame">Clock Relationships</a><br>
<a href="syn_tdc_srr.htm#interfaceInfo517" target="srrFrame">Interface Information</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#inputPorts518" target="srrFrame">Input Ports</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#outputPorts519" target="srrFrame">Output Ports</a><br>
<a href="syn_tdc_srr.htm#clockReport520" target="srrFrame">Detailed Report for Clock: spec_clk20</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack521" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack522" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths523" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport524" target="srrFrame">Detailed Report for Clock: tdc_clk125</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack525" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack526" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths527" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport528" target="srrFrame">Detailed Report for Clock: top_tdc|spec_clk_i</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack529" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack530" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths531" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport532" target="srrFrame">Detailed Report for Clock: System</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack533" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack534" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths535" target="srrFrame">Worst Path Information</a><br>
<b><a href="syn_tdc_srr.htm#resourceUsage536" target="srrFrame">Resource Utilization</a></b><br>
......@@ -4,7 +4,7 @@ Loading device for application Rf_Device from file '6slx45t.nph' in environment
/afs/cern.ch/project/parc/elec/xilinx131/ISE_DS/ISE/.
"top_tdc" is an NCD, version 3.2, device xc6slx45t, package fgg484, speed -2
Fri Jul 15 19:32:12 2011
Mon Jul 18 09:45:10 2011
/afs/cern.ch/project/parc/elec/xilinx131/ISE_DS/ISE/bin/lin64/unwrapped/bitgen par_tdc.ncd tdc
......
Release 13.1 Drc O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:12 2011
Mon Jul 18 09:45:10 2011
drc -z par_tdc.ncd
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment