Commit cb30b5d1 authored by penacoba's avatar penacoba

cleaned up version of the PLL test synthesis results


git-svn-id: http://svn.ohwr.org/fmc-tdc@35 85dfdc96-de2c-444c-878d-45b388be74a9
parent b5ae1362
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(2)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(3)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(4)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(5)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(6)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(7)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(8)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(9)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(10)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(11)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(12)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(13)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(14)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(15)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_rd_d_rdy_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_rd_d_rdy_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">ef1_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">ef2_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_clk_n_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_clk_p_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">lf1_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">lf2_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">vc_rdy_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">vc_rdy_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_valid_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(2)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(3)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(4)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(5)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(6)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(7)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(8)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(9)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_wr_req_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_wr_req_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">tx_error_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">pll_sdo_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_dframe_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">acam_refclk_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">pll_refmon_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">l2p_rdy_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">err_flag_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">pll_status_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">l_wr_rdy_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">l_wr_rdy_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(10)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(11)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(12)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(20)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(13)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(21)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(22)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(14)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(23)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(15)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(24)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(16)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(25)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(17)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(26)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(18)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(27)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(19)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">int_flag_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="2410" delta="new" >This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999.
</msg>
</messages>
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="Map" num="220" delta="new" >The command line option -timing is automatically supported for this architecture. Therefore, it is not necessary to specify this option.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">vc_rdy_i(0)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">vc_rdy_i(1)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">acam_refclk_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">pll_sdo_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">ef1_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">lf1_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(0)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(1)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(2)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(3)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(4)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(5)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(6)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(0)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(7)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(1)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(8)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">int_flag_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(2)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(9)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(3)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(4)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(5)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(6)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(7)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(8)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(9)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_valid_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(13)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(14)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">ef2_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(15)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">l_wr_rdy_i(0)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">l_wr_rdy_i(1)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_dframe_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">pll_status_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">lf2_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(14)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(15)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(16)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(17)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(20)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(18)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(21)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(19)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(22)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(23)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(24)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(10)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(25)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(11)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(26)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_data_i(12)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(27)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">pll_refmon_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(10)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(11)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(12)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">data_bus_io(13)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p_rd_d_rdy_i(0)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p_rd_d_rdy_i(1)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_clk_p_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">err_flag_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p_wr_req_i(0)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p_wr_req_i(1)_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">p2l_clk_n_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">l2p_rdy_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="LIT" num="243" delta="new" >Logical network <arg fmt="%s" index="1">tx_error_i_IBUF</arg> has no load.
</msg>
<msg type="info" file="MapLib" num="562" delta="new" >No environment variables are currently set.
</msg>
<msg type="info" file="LIT" num="244" delta="new" >All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs.
</msg>
<msg type="info" file="Pack" num="1716" delta="new" >Initializing temperature to <arg fmt="%0.3f" index="1">85.000</arg> Celsius. (default - Range: <arg fmt="%0.3f" index="2">0.000</arg> to <arg fmt="%0.3f" index="3">85.000</arg> Celsius)
</msg>
<msg type="info" file="Pack" num="1720" delta="new" >Initializing voltage to <arg fmt="%0.3f" index="1">1.140</arg> Volts. (default - Range: <arg fmt="%0.3f" index="2">1.140</arg> to <arg fmt="%0.3f" index="3">1.260</arg> Volts)
</msg>
<msg type="info" file="Map" num="215" delta="new" >The Interim Design Summary has been generated in the MAP Report (.mrp).
</msg>
<msg type="info" file="Place" num="834" delta="new" >Only a subset of IOs are locked. Out of <arg fmt="%d" index="1">135</arg> IOs, <arg fmt="%d" index="2">134</arg> are locked and <arg fmt="%d" index="3">1</arg> are not locked. <arg fmt="%s" index="4">If you would like to print the names of these IOs, please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.</arg>
</msg>
<msg type="info" file="Pack" num="1650" delta="new" >Map created a placed design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(2)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(3)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(4)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(5)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(6)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(7)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(8)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(9)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(10)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(11)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(12)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(13)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(14)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_data_i(15)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_rd_d_rdy_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_rd_d_rdy_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">ef1_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">ef2_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_clk_n_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_clk_p_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">lf1_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">lf2_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">vc_rdy_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">vc_rdy_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_valid_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(2)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(3)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(4)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(5)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(6)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(7)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(8)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(9)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_wr_req_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p_wr_req_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">tx_error_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">pll_sdo_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">p2l_dframe_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">acam_refclk_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">pll_refmon_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">l2p_rdy_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">err_flag_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">pll_status_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">l_wr_rdy_i(0)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">l_wr_rdy_i(1)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(10)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(11)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(12)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(20)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(13)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(21)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(22)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(14)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(23)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(15)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(24)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(16)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(25)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(17)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(26)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(18)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(27)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">data_bus_io(19)_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="367" delta="new" >The signal &lt;<arg fmt="%s" index="1">int_flag_i_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
<msg type="warning" file="PhysDesignRules" num="2410" delta="new" >This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999.
</msg>
</messages>
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
</messages>
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(0)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(1)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(2)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(3)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(4)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(5)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(6)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(7)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(8)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(9)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(10)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(11)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(12)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(13)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(14)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_data_i(15)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p_rd_d_rdy_i(0)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p_rd_d_rdy_i(1)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">ef1_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">ef2_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_clk_n_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_clk_p_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">lf1_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">lf2_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">vc_rdy_i(0)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">vc_rdy_i(1)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_valid_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(0)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(1)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(2)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(3)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(4)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(5)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(6)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(7)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(8)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(9)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p_wr_req_i(0)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p_wr_req_i(1)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">tx_error_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">pll_sdo_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">p2l_dframe_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">acam_refclk_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">pll_refmon_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">l2p_rdy_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">err_flag_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">pll_status_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">l_wr_rdy_i(0)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">l_wr_rdy_i(1)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(10)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(11)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(12)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(20)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(13)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(21)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(22)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(14)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(23)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(15)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(24)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(16)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(25)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(17)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(26)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(18)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(27)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">data_bus_io(19)_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="288" delta="new" >The signal <arg fmt="%s" index="1">int_flag_i_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
<msg type="warning" file="Par" num="468" delta="new" >Your design did not meet timing. The following are some suggestions to assist you to meet timing in your design.
Review the timing report using Timing Analyzer (In ISE select &quot;Post-Place &amp;
Route Static Timing Report&quot;). Go to the failing constraint(s) and evaluate the failing paths for each constraint.
Try the Design Goal and Strategies for Timing Performance(In ISE select Project -&gt; Design Goals &amp; Strategies) to ensure the best options are set in the tools for timing closure.
</msg>
<msg type="warning" file="ParHelpers" num="361" delta="new" >There are <arg fmt="%d" index="1">68</arg> loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
</msg>
<msg type="warning" file="Par" num="283" delta="new" >There are <arg fmt="%d" index="1">68</arg> loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
</msg>
</messages>
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="Timing" num="3386" delta="new" >Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report.</msg>
<msg type="info" file="Timing" num="3339" delta="new" >The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</msg>
<msg type="info" file="Timing" num="2761" delta="new" >N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</msg>
</messages>
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$44640<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1:BA4=6;2;%<<:4158JJUSS2HNO^L2>3;2=51=6<3CE\XZ5AEFQF974294:7?64220166479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=8720?>=<03235>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>;7082<2<>03===59984:23?>>?3=548=;7C7?3GFI11=MLONA@C24>0FIHKJMLONA@CBED`<>zfs88zigf6;0(553>L:75:483620>>3:8;0595601;1?<0?30<=5;766028=30>>03=:479974:5`=>2@D[YY4rne\ahvsqV~c~h}g<983:73<13CE\XZ5psmd[`kw|pUdk|h^cpw`ts410;2?;49;KMTPR=x{elShctx]wlwct`Vdnklzj<983:4b<13CE\XZ5psmd[cskdV~c~h}g<983:72<13CE\XZ5psmd[cskdV~c~h}g_`qpawr;03:5>956:HLSQQ<wzfmTjxbc_ujqavnXflmjxh27:1<2<>?=G\^[YY4kauc\gjsi|521<3?m;88LQQVR\3xoSio{a^alqkr;03:5=o56:NWWTPR={UomyoPcnwmp9>=8720MLON6@CB55=FIHKJMLO9A@CBEDG?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6Jl;ECWEZQNL]BTMn5KAUC\SLBS@VH97IG9;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;1<L@K7=:08;EKB84>9?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1<L@K7>808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1716:FJF969?2NBN1??>69GMG:697=0HDL313<4?AOE4895;6JFB=37:2=CAK6:9394DH@?53803MCI0<917:FJF97?6>1OEO2>9?48@LD;97=0HDL321<4?AOE4;;5;6JFB=01:2=CAK69?394DH@?61803MCI0?;17:FJF9416>1OEO2=7?58@LD;:14<7IGM<3;=2>BNJ585;6JFB=13:<=CAK68=7>17:FJF9566?1OEO2<>79GMG:36?1OEO2:>79GMG:16?1OEO28>79GMG:?6?1OEO26>69GMUG;87=0HD^N<0<4?AOWI58556JFP@>0>5803MC[M1=17:FJTG:76>1OE]L31?58@LVE4;427IG_B=194;1<L@ZI0>09;EMB85803MEJ0<>17:FLE9766>1OCL2>2?58@JG;9:4<7IAN<06=3>BHI5;>2:5KO@>22;1<LFK7=:08;EMB84>9?2NDM1?6>79GKD:66>1OCL2=0?58@JG;:84<7IAN<30=3>BHI5882:5KO@>10;1<LFK7>808;EMB8709?2NDM1<8>69GKD:507=0HBO328<5?AIF4;4<7IAN<22==>BHI59:6=08;EMB8679>2NDM1=16:FLE929>2NDM1;16:FLE909>2NDM1916:FLE9>9>2NDM1717:FLEZTBO?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HBLPRDE4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>39F06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=1M>K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[<4LH48HJGCMM=0@BIFC@N7?ISS:=1GYY=;;MWW01=K]]??7A[[649NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?;;O3361=I999?7C??459M55333G;;:95A1157?K770=1E==7<;O320>H699>0B<?>4:L2572<F8;886@>1568J472<2D:=;:4N0340>H691>0B<?63:L261=I9;:?7C?=159M57433G;9?95A1367?K75==1E=?8;;O3131=I9;2?7C?=929M562<F89;86@>3068J455<2D:?>:4N0170>H6;190B<:;;O3746=I9<90B<8;;O35=6=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<;1E9?5A729M<45<F1887C6<3:L;06=I0<90B58<;O:47>H?0:1E44<4N818J<643G3:?6@6229M=65<F0>87C7:3:L:26=I1>90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB92[j7_OBB04AS@T13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO44?VTQIEUJ;6]]V@N\F1=TQGI?7Y\ZE59W]UC4l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR9VS_JPPOVQ_1[XPFXT84Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR?VS_JPPOVQ_3[XPFXT8:Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR<VS_JPPOVQ_2[XPFXT8;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR=VS_JPPOVQ_=[XPFXT88Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS8WT[_Q_NUPX6XY_G[U<SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW<UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^2ZW^XT\CZ][4_\\JTX<VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY4WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX2XYPZVZEX_U8]^ZLVZ4XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY4YZQUWYD_^V6R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q:2^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]65Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U?5Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q;8^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]73Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY3>Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U?9Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW=SPWS]SJQT\9TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T1\]TVZVI\[Q9QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS=WT[_Q_NUPX1XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP9PQXR^RMPW]1UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U9]^UQ[UHSZR=VSUA]_3]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ5^[RTXXG^YW5SPXNP\5Zi592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\cf:76;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^22b>S7'nxm"h gbz-gim'{nT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR8>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P17]l7d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=;Q`12;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8=Tc>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]?UVxnhxmj_ymq[41Xg89m7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3;5Z~h494:?45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\5=Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P183\|j:768927X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3:[j5a3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T8\]qaasdmVrd~R<?1^zl8586;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P21]l7`=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT><?Pxn>3:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS??Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;8:Sua30?61?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV89=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ=2^m0a>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9?<Qwo=2=7<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>>Q`299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^:15>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"h gbz-gim'~xT|cz}_ckm8=8582_;#j|i.sd,cf~)keas#z|Ppovq[goiW98;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ=219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^114>S7'nxm"h gbz-gim'~xT|cz}_ckm[1473\:$kh!rg-dg}(ddbr${Qnup\flhX=;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU=>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR9=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>1^m0<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U?Sb=6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ2Xg8937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^7\k6?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS8Q`12g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW?;Ttb2?>01;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV<Tc>k4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]?UVxnhxmj_ymq[27Xpf6;2<=7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ1Xg:o0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_93\|j:768937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^:\k6b<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4?Pxn>3:6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4Q`299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^:1a>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2;>00f?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)khxyuck{<1<1a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=3=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:668887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<266=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:11328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr36a=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l6`=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l576<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j63=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTe9>4U1-dvc(un&mg<#|k/fpkb+ton&GfyuQ}al]ueiocW`dSJ@K_6;\kZKRPV;9Sb?>279V4*aun'xm#jb?.sf,cwna&{bm#ob_wcomaYc9:30Y=!hrg,qb*ak8'xo#j|gf/pkb*tfeV|j`djPiov\CKBX?0UdS@[W_00\k4b<]9%l~k }f.eo4+tc'{kfSjPeo]j515<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[3?XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP68]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY11VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_7;\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS;7Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z0>WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]5=ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ99^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>3:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^az8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\g|:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Ze~4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xkp6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vir080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Tot29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rmv<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>;:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^nvp979;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[iss4;48<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xd|~7?3=?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[k67:<Ugyy2;>228Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rbzt=7=75=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUe<=<:_mww838482_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\hpr;?79;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_o2360Yk}}632>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vf~x171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYi898>Sua}<0<04>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pxnp?6;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSc>?24]{kw:46::0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Z~hz5>5?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]m4542Wqey080<0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Ttb|36?13?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWg:;>8Qwos>4:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^zlv9>9;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[}iu4048?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@m`mqcq[Zh78:8Tot2?>218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-Ngjkwi{UTb=><2^az8484;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xkp692>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYXf9:8>Rmv<2<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySR`?020\g|:36:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~x1>1359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww8484<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~7>3=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXWg:;??Qcuu>0:62<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQPn1206Zjr|5>5?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZYi8999Sa{{dh17?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTSc>?33]oqqbn9:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~xig=359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww`l54<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~oe9==;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXf9:;9Rmv<1<06>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]`}979;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&Ghc`~nr^l3453Xkp692><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Snw33?11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTb=>?5^az8184;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_o2340Yk}}6;2>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Sa{{<0<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]oqq:56:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]m4562We0>0<3:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/LaliuguWg:;<8Qcuu>7:64<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQa0126[issl`987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Anabp`p\j567=Vf~xig>329V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVd;<=;Pltvgm7543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(Ejef|l|Pn1231Zjr|mc8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZh789?T`xzki563?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,[ZY_DGGTSR>P_^O`khvfzVd;<=;Pltvgm17<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_0]\[HeheykySc>?04]oqqbn9=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[7YXWDida}o}_o2340Yk}}nb>9?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!P_^ZOJHYXW:UTS@m`mqcq[k678<Ugyyjf3538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-\[Z^KFDUTS9QP_LaliuguWg:;<8Qcuufj017<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_4]\[HeheykySR`?020\hprca=80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[3YXWDida}o}_^l3464Xd|~oe<:=;T2,cw`)zo%l`= xr.etev(`xo$|~}h _^][HKKXWV=TSRClolrbvZYi8999Sa{{dh076>S7'nxm"h gm2-sw)`hy%k}h!wsre+ZYXPEDFSRQ7_^]Ngjkwi{UTb=><2^nvpao4<;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&UTSUBAM^]\=ZYXEjef|l|P_o2377Yk}}nb8?;4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Pn12067`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>;:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;978<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$b=>=5=0=62=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*h78;?7?3<8;T2,cw`)zo%l`= xr.etev(`xo$|~}h n1211929:>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&d;<?;35?04?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,j565=5<5>:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"`?037?3;403\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(f9:99161269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo492_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[wusxf~856[?/fpe*w`(oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX0:UdS@[W_06\k475m2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"no}rxlfp969:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#mnrs{maq:66;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:78n7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6494986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:72<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?07?P6(o{l%~k!hl1,tv*apiz$|y} c0-u59599;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:090=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:44b3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw8585m2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"no}rxlfp979:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:56;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;878?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=0=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28686::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=6=576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6;;1^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;TKCJP82]l[HS_W8>Tc?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~=299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k2`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\575<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Zebxf~956[?/fpe*w`(oe:%{!}al]tvZciW`;Toh~`t^KMRZ6502_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idycz30?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}6:2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp949:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{<2<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~783<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjq:26;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at=4=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|d0:0=8:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkr;07>;7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4:4:=9=4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^7?3Qmde20a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~P4PQHNE];5ZiXe|rT>RAZT=7=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dW5SPGOF\<4YhWdsS?Q@UU>6:45b3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byU7]^EM@Z>6WfUfyuQ=_NWW8384n2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczT8\]DJAY?9VeTaxvP2^MVP9099:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{atZ:^[BHCW1;TcRczx^0\KPR;?79m7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4>4:?h5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw_=[XOGNT4<Q`_lw{[7YH]]6329<4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^743Q\W104?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U;>n5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[5Ycxf~9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\57e<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexR?Pdqmw62=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dS?<l;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqY5Wmzdx?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ55k2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczP3^fskq403\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQ;2b9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsW=Uo|bz=7:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrX=;i0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^7\`uis:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_704?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U<>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[=4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=2=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?31?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=1<12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm;7?3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc95>5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7;=78i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g5909:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3?3;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=:=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn:S<<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc9V89m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`4Y4:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3\07g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj>_40b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=R8=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl8U<>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7X0;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18585j2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3>2:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=<3<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~Th?2<>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9090=b:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;6>2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZb54?49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7:06;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18=85i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3]36d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi<P13c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9S?<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc:V99m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7Y3:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e0\17g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=_70b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo>R9=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;U3>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;878i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7979:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1?6;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk3=1=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=34?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?1;12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm97:3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;5=5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;078j7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7Z65i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd2]26d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=P23c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn8S><n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;V>9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`6Y2:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1\27g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj<_60b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?R688:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid;m7X> gsd-vc)jmd%ln` {mq]qehYulVoe>=5Z0.eqb+ta'dof#jlb.uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!]SU]EQIJXOLD946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`44?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk23:8Q5)`zo$yj"||tcnh+btt|m;%k}{_gwoh+tt|Vn8>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4949o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;978h7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56;h0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ65j2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\57d<]9%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^01g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi30?0`?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2>>3`8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR>=b:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb5:=1^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz>c:W3+bta&{l$~~zmlj-tvZtt|Vidao?k;T2,cw`)zo%yylck.uq[wusWjefn<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo6:?ZYXPEDFSRQ>0^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6?2<=m;^]\\IHJWVU:=RQPU1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ5Xlye8i5P_^ZOJHYXW88TSR[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]45Z~h494:8h5P_^ZOJHYXW89TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=9m4_^][HKKXWV;?SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT:4Q`_lw{[7YH]]9:?o5P_^ZOJHYXW8?TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\5Zbwg}8m7RQPXMLN[ZY6>VUTY=!hrg,qb*kbe&mia#zbp^pbiZtcWld:8n5P_^ZOJHYXW8=TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P53]l[}i;87;?o6QP_YNMIZYX91UTSX> gsd-vc)`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768>i7RQPXMLN[ZY61VUTY=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP<PQXR^RMPW]6UVRD^R6Po^zl8586<k1TSRVCNL]\[76XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT>RaPxn>3:42d3VUTTA@B_^]15ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV?;SbQwo=2=51e<WVUS@CCP_^01[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<20g=XWVRGB@QP_31\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX>VeTtb2?>06a?ZYXPEDFSRQ=4^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>c:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~j2lbjbQ<2y7267?<n`ldS><w500/bl`hWnoeio{os]u2Z4+FFDN CAAE2f`?coagV99t8?=,gkekZabflxjxb|Pv7]1(~eX`hyTeczPcmi>4)eX`hyT}{=1.`[wbXn{oz1<"l_dlbficXzz~Tt`l=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk964+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>5)eXlfSzgkti?06)eXezmdbRxnl<3/gZtcWmo{xe3:13.`[`tug{Ubbgklthmm[qwm4:'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;281&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:9%iT|ah_gwohZrozlycSl}|esv\rdj:=93'obcoogmpZhfel7mekaP33z657*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0:#c^ofijt~W}s{i0?#}378bl`hW:8s9<<Pfhdl[bcim{kcQy6^0\|vrX9;l0jdh`_fgmawgsg{U}:R<# Ykomk~'KFXN,Jkaescwkw&6:'8?7k{cle9jjocd|mhbxh|}d:kmn`es{kci|8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586i2zycjQiumn\pmtb{aUj~k}t=3=5d=wzfmTjxbc_ujqavnXizyn~y2=>0c8twi`Wog`Rzgrdqk[dutm{~7?3?n;qplcZ`rdeUdk|h^cpw`ts4=4:m6~}of]eqijX|axneQnsrgqp9399h1{~biPftno[qnumzbTm~}jru>5:4g<x{elSk{cl^vkv`uoWhyxiz37?3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[dutm{~743?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki1>11`9svjaXn|fgSyf}erj\j`af|l6:2<o4psmd[cskdV~c~h}g_ogdeqc;:7;j7}|`g^dvhiYs`{oxdR`jg`vf8686i2zycjQiumn\pmtb{aUeijo{e=6=5d=wzfmTjxbc_ujqavnXflmjxh2:>0c8twi`Wog`Rzgrdqk[kc`i}o7:3?n;qplcZ`rdeUdk|h^lfcdrb4>4:o6~}of]eqijX|axneQaefcwa9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf8=813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;97jPd`vb[firf}636=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su34?qkw'Vil#kgio^11|075&gmd56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpb2g?EF=<>1J7:51zQ55?2b?3<1=><kb6`96=6djrd?894>;o671?0<,=>969=l;|Q6b?2b?3<1=><kb6`96=6dj2Y::>4;f383>455lk=i6?6?cb9P1c<3n;0;6<==dc5a>7>7kl1o8h750;395~U193>n;785120gf2d=:1:hn6x[1d194?7=93;2nv]91;6f3?0=9:8on:l5292`f>"3:l0::95Y45196~s6>j0:7x?9d;28y!7c:38:7o:j9;291g<42<hpD9<l;[74>1}5n39;6<>5d;'5`?=<l30(9:>:5gg?j32>3:17d;;f;29?j36;3:17d;93;29?j2bm3:17b:j8;29?l3203:17d;=6;29 4b32<8m7c?k3;28?l35=3:1(<j;:40e?k7c;3;07d;=4;29 4b32<8m7c?k3;08?l35;3:1(<j;:40e?k7c;3907d;=2;29 4b32<8m7c?k3;68?l3593:1(<j;:40e?k7c;3?07d;=0;29 4b32<8m7c?k3;48?l36n3:1(<j;:40e?k7c;3=07d;>e;29 4b32<8m7c?k3;:8?l3413:1(<j;:461?k7c;3:07d;<8;29 4b32<>97c?k3;38?l34?3:1(<j;:461?k7c;3807d;<6;29 4b32<>97c?k3;18?l34=3:1(<j;:461?k7c;3>07d;<4;29 4b32<>97c?k3;78?l34;3:1(<j;:461?k7c;3<07d;<2;29 4b32<>97c?k3;58?l3493:1(<j;:461?k7c;3207d;:1;29?j2en3:1(<j;:5ff?k7c;3:07b:me;29 4b32=nn7c?k3;38?j2ek3:1(<j;:5ff?k7c;3807b:mb;29 4b32=nn7c?k3;18?j2ei3:1(<j;:5ff?k7c;3>07b:m9;29 4b32=nn7c?k3;78?j2e03:1(<j;:5ff?k7c;3<07b:m7;29 4b32=nn7c?k3;58?j2e>3:1(<j;:5ff?k7c;3207b:m5;29 4b32=nn7c?k3;;8?j2e<3:1(<j;:5ff?k7c;3k07b:m3;29 4b32=nn7c?k3;`8?j2e93:1(<j;:5ff?k7c;3i07b:m0;29 4b32=nn7c?k3;f8?j2fn3:1(<j;:5ff?k7c;3o07b:ne;29 4b32=nn7c?k3;d8?j2fl3:1(<j;:5ff?k7c;3;;76a;ab83>!7c<3>oi6`>d2825>=h<hh1<7*>d587``=i9m91=?54o5cb>5<#9m>18ik4n0f0>45<3f>j57>5$0f7>1bb3g;o?7?;;:m7e=<72-;o87:ke:l2`6<6=21d8n;50;&2`1<3ll1e=i=51798k1e3290/=i:54eg8j4b428=07b:l3;29 4b32=nn7c?k3;3;?>i3k;0;6)?k4;6ga>h6l:0:565`4b394?"6l=0?hh5a1e195d=<g=i;6=4+1e690ac<f8n86<l4;n6a`?6=,8n?69jj;o3g7?7d32e?n?4?:%3g0?2cm2d:h>4>d:9l0d1=83.:h94;dd9m5a5=9l10c9o9:18'5a2=<mo0b<j<:0d8?l20m3:1(<j;:5;g?k7c;3:07d:8d;29 4b32=3o7c?k3;38?l20j3:1(<j;:5;g?k7c;3807d:8a;29 4b32=3o7c?k3;18?l2013:1(<j;:5;g?k7c;3>07d:88;29 4b32=3o7c?k3;78?l20?3:1(<j;:5;g?k7c;3<07d:86;29 4b32=3o7c?k3;58?l20=3:1(<j;:5;g?k7c;3207d:84;29 4b32=3o7c?k3;;8?l20;3:1(<j;:5;g?k7c;3k07d:82;29 4b32=3o7c?k3;`8?l2083:1(<j;:5;g?k7c;3i07d:9f;29 4b32=3o7c?k3;f8?l21m3:1(<j;:5;g?k7c;3o07d:9d;29 4b32=3o7c?k3;d8?l21k3:1(<j;:5;g?k7c;3;;76g;6c83>!7c<3>2h6`>d2825>=n<?k1<7*>d587=a=i9m91=?54i54:>5<#9m>184j4n0f0>45<3`>=47>5$0f7>1?c3g;o?7?;;:k722<72-;o87:6d:l2`6<6=21b85:50;&2`1<31m1e=i=51798m1>4290/=i:548f8j4b428=07d:72;29 4b32=3o7c?k3;3;?>o3080;6)?k4;6:`>h6l:0:565f49294?"6l=0?5i5a1e195d=<a==m6=4+1e690<b<f8n86<l4;h64g?6=,8n?697k;o3g7?7d32c?;<4?:%3g0?2>l2d:h>4>d:9j030=83.:h94;9e9m5a5=9l10e98::18'5a2=<0n0b<j<:0d8?l33<3:17o:=d;295?6=8rB?>n5+1d;907b<g8n:6=44}c33`?6=93:1<vF;2b9'5`?=99n0c<>l:188yg31290jn7?;5;3:f~N3:j1Q9:4>6z0`>7d=:m08=7<j:3d975<683n1??4<3;f96g<5k38o6?k52g804?5628:1??4<3;'5`?=<li0(8o54dc8 36=<lh0(<ml:0f3?l37?3:1(<j;:42;?k7c;3:07d;?6;29 4b32<:37c?k3;38?l37=3:1(<j;:42;?k7c;3807d;?4;29 4b32<:37c?k3;18?l37;3:1(<j;:42;?k7c;3>07d;?2;29 4b32<:37c?k3;78?l3793:1(<j;:42;?k7c;3<07d;?0;29 4b32<:37c?k3;58?l2an3:1(<j;:42;?k7c;3207d:i0;29?l3283:17d;:3;29?j32>3:17d:id;29 4b32=ln7c?k3;28?l2ak3:1(<j;:5df?k7c;3;07d:ib;29 4b32=ln7c?k3;08?l2ai3:1(<j;:5df?k7c;3907d:i9;29 4b32=ln7c?k3;68?l2a03:1(<j;:5df?k7c;3?07d:i7;29 4b32=ln7c?k3;48?l2a>3:1(<j;:5df?k7c;3=07d:i5;29 4b32=ln7c?k3;:8?l33n3:17b:i3;29?l3693:1(<j;:431?k7c;3:07d;>0;29 4b32<;97c?k3;38?l37n3:1(<j;:431?k7c;3807d;?e;29 4b32<;97c?k3;18?l37l3:1(<j;:431?k7c;3>07d;?c;29 4b32<;97c?k3;78?l37j3:1(<j;:431?k7c;3<07d;?a;29 4b32<;97c?k3;58?l3713:1(<j;:431?k7c;3207b::6;29?j21:3:1(<j;:540?k7c;3:07b:91;29 4b32=<87c?k3;38?j2183:1(<j;:540?k7c;3807b::f;29 4b32=<87c?k3;18?j22m3:1(<j;:540?k7c;3>07b::d;29 4b32=<87c?k3;78?j22k3:1(<j;:540?k7c;3<07b::b;29 4b32=<87c?k3;58?j22i3:1(<j;:540?k7c;3207b;>3;29?l31;3:17d;>c;29 4b32<;o7c?k3;28?l36j3:1(<j;:43g?k7c;3;07d;>a;29 4b32<;o7c?k3;08?l3613:1(<j;:43g?k7c;3907d;>8;29 4b32<;o7c?k3;68?l36?3:1(<j;:43g?k7c;3?07d;>6;29 4b32<;o7c?k3;48?l36=3:1(<j;:43g?k7c;3=07d;>4;29 4b32<;o7c?k3;:8?j2bm3:17b:j8;29?l3203:17d::8;29?l35>3:1(<j;:40e?k7c;3:07d;=5;29 4b32<8m7c?k3;38?l35<3:1(<j;:40e?k7c;3807d;=3;29 4b32<8m7c?k3;18?l35:3:1(<j;:40e?k7c;3>07d;=1;29 4b32<8m7c?k3;78?l3583:1(<j;:40e?k7c;3<07d;>f;29 4b32<8m7c?k3;58?l36m3:1(<j;:40e?k7c;3207d;<9;29 4b32<>97c?k3;28?l3403:1(<j;:461?k7c;3;07d;<7;29 4b32<>97c?k3;08?l34>3:1(<j;:461?k7c;3907d;<5;29 4b32<>97c?k3;68?l34<3:1(<j;:461?k7c;3?07d;<3;29 4b32<>97c?k3;48?l34:3:1(<j;:461?k7c;3=07d;<1;29 4b32<>97c?k3;:8?j22=3:17d:i1;29?l2213:17d;94;29?j32:3:17b;:7;29?l3293:17b:mf;29 4b32=nn7c?k3;28?j2em3:1(<j;:5ff?k7c;3;07b:mc;29 4b32=nn7c?k3;08?j2ej3:1(<j;:5ff?k7c;3907b:ma;29 4b32=nn7c?k3;68?j2e13:1(<j;:5ff?k7c;3?07b:m8;29 4b32=nn7c?k3;48?j2e?3:1(<j;:5ff?k7c;3=07b:m6;29 4b32=nn7c?k3;:8?j2e=3:1(<j;:5ff?k7c;3307b:m4;29 4b32=nn7c?k3;c8?j2e;3:1(<j;:5ff?k7c;3h07b:m1;29 4b32=nn7c?k3;a8?j2e83:1(<j;:5ff?k7c;3n07b:nf;29 4b32=nn7c?k3;g8?j2fm3:1(<j;:5ff?k7c;3l07b:nd;29 4b32=nn7c?k3;33?>i3ij0;6)?k4;6ga>h6l:0:=65`4``94?"6l=0?hh5a1e1957=<g=kj6=4+1e690ac<f8n86<=4;n6b=?6=,8n?69jj;o3g7?7332e?m54?:%3g0?2cm2d:h>4>5:9l0f3=83.:h94;dd9m5a5=9?10c9m;:18'5a2=<mo0b<j<:058?j2d;3:1(<j;:5ff?k7c;3;376a;c383>!7c<3>oi6`>d282=>=h<j;1<7*>d587``=i9m91=l54o5a3>5<#9m>18ik4n0f0>4d<3f>ih7>5$0f7>1bb3g;o?7?l;:m7f7<72-;o87:ke:l2`6<6l21d8l950;&2`1<3ll1e=i=51d98k1g1290/=i:54eg8j4b428l07d:8e;29 4b32=3o7c?k3;28?l20l3:1(<j;:5;g?k7c;3;07d:8b;29 4b32=3o7c?k3;08?l20i3:1(<j;:5;g?k7c;3907d:89;29 4b32=3o7c?k3;68?l2003:1(<j;:5;g?k7c;3?07d:87;29 4b32=3o7c?k3;48?l20>3:1(<j;:5;g?k7c;3=07d:85;29 4b32=3o7c?k3;:8?l20<3:1(<j;:5;g?k7c;3307d:83;29 4b32=3o7c?k3;c8?l20:3:1(<j;:5;g?k7c;3h07d:80;29 4b32=3o7c?k3;a8?l21n3:1(<j;:5;g?k7c;3n07d:9e;29 4b32=3o7c?k3;g8?l21l3:1(<j;:5;g?k7c;3l07d:9c;29 4b32=3o7c?k3;33?>o3>k0;6)?k4;6:`>h6l:0:=65f47c94?"6l=0?5i5a1e1957=<a=<26=4+1e690<b<f8n86<=4;h65<?6=,8n?697k;o3g7?7332c?::4?:%3g0?2>l2d:h>4>5:9j0=2=83.:h94;9e9m5a5=9?10e96<:18'5a2=<0n0b<j<:058?l2?:3:1(<j;:5;g?k7c;3;376g;8083>!7c<3>2h6`>d282=>=n<1:1<7*>d587=a=i9m91=l54i55e>5<#9m>184j4n0f0>4d<3`><o7>5$0f7>1?c3g;o?7?l;:k734<72-;o87:6d:l2`6<6l21b8;850;&2`1<31m1e=i=51d98m102290/=i:548f8j4b428l07d::7;29?j33l3:1(<j;:46f?k7c;3:07b;;c;29 4b32<>n7c?k3;38?j33j3:1(<j;:46f?k7c;3807b;;a;29 4b32<>n7c?k3;18?j3313:1(<j;:46f?k7c;3>07b;;8;29 4b32<>n7c?k3;78?j33?3:1(<j;:46f?k7c;3<07b;;6;29 4b32<>n7c?k3;58?j33=3:1(<j;:46f?k7c;3207b;91;29 4b32<<97c?k3;28?j3183:1(<j;:441?k7c;3;07b;:f;29 4b32<<97c?k3;08?j32m3:1(<j;:441?k7c;3907b;:d;29 4b32<<97c?k3;68?j32k3:1(<j;:441?k7c;3?07b;:b;29 4b32<<97c?k3;48?j32i3:1(<j;:441?k7c;3=07b;:9;29 4b32<<97c?k3;:8?j2a<3:17d:jf;29?j21<3:17d;;4;29?j32=3:17o:<f;295?6=8r.:i44>0e9K06c<@=8h7b??c;29?xd3<90;6<4?:1y'5`?=<;n0D9=j;I61g>i6l80;66sm2d594?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg4b>3:187>50z&2a<<68h1C8>k4H50`?!76l3?0e8650;9j27<722c:h;4?::m2`2<722wi>h750;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb2`3>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd4io0;694?:1y'5`?=99k0D9=j;I61g>"69m0>7d;7:188m34=831b=i850;9l5a1=831vn9?=:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c616?6=;3:1<v*>e88242=O<:o0D9<l;I76?!71i3;n46*>1e82?l3?2900e;<50;9l5a1=831vn9<8:180>5<7s-;n57??7:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n>;0;66a>d683>>{e<8?1<7:50;2x 4c>28:j7E:<e:J76f=O=<1/=;o51d:8 47c2<1b954?::k56?6=3`;o:7>5;n3g3?6=3th?><4?:283>5}#9l31==94H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l052900c<j8:188yg25>3:1?7>50z&2a<<68>1C8>k4H50`?M323-;=m7?j8:&25a<63`?36=44i7094?=h9m=1<75rb537>5<3290;w)?j9;33e>N3;l1C8?m4$04b>4c?3A?>7)?>d;78m0>=831b:?4?::k2`3<722e:h:4?::a04d=83>1<7>t$0g:>46f3A>8i6F;2b9'54b==2c>47>5;h41>5<<a8n=6=44o0f4>5<<uk>:m7>54;294~"6m00:<l5G42g8L14d3-;:h7;4i4:94?=n>;0;66g>d783>>i6l>0;66sm40;94?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg2583:197>50z&2a<<68k1C8>k4H50`?M323-;=m7?j8:k6<?6=3`?26=44i7094?=n9m<1<75`1e594?=zj=;m6=4;:183!7b13;;m6F;3d9K07e<,8;o685f5983>>o1:3:17d?k6;29?j7c?3:17pl;1d83>1<729q/=h7511c8L15b3A>9o6*>1e86?l3?2900e;<50;9j5a0=831d=i950;9~f174290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;%32`?3<a<21<75f6383>>o6l?0;66a>d683>>{e;1n1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd40j0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg5?j3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f6>f290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a7=c=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`0e5<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c1:b?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb2;f>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm38f94?2=83:p(<k6:04a?M24m2B?>n5f5c83>>o1=3:17d?j0;29?j7dn3:17pl<a083>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo<l3;290?6=8r.:i44>6c9K06c<@=8h7d;m:188m33=831b=h>50;9l5f`=831vn?m=:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi>n?50;694?6|,8o26<8m;I60a>N3:j1b9o4?::k51?6=3`;n<7>5;n3`b?6=3th9o=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk8h87>54;294~"6m00::o5G42g8L14d3`?i6=44i7794?=n9l:1<75`1bd94?=zj;n=6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e:m?1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd5l=0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg4c;3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f7b0290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a775=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm33094?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi???50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;;:1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74`=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30g94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<j50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;8i1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74d=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30394?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<>50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9l1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75c=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31f94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?=m50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9h1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75g=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31;94?3=83:p(<k6:02a?M24m2B?>n5+10f91>o203:17d;6:188m34=831b=i850;9l5a1=831vn>:<:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?9650;194?6|,8o26<8:;I60a>N3:j1/=<j5279'5a3=;?<0e<>i:188m4772900c<mi:188yg53l3:1?7>50z&2a<<6><1C8>k4H50`?!76l330(<j::245?l77n3:17d?>0;29?j7dn3:17pl<4383>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=;7;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39=96g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;=i1<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d48020=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb262>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm35494?3=83:p(<k6:044?M24m2B?>n5+10f962=#9m?1?;:4i02e>5<<a8;;6=44i032>5<<a8;96=44o0ae>5<<uk9?n7>55;294~"6m00:::5G42g8L14d3-;:h7:4$0f6>6033`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`005<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c171?6==3:1<v*>e88222=O<:o0D9<l;%32`?403-;o97=93:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?9o50;794?6|,8o26<88;I60a>N3:j1/=<j54:&2`0<4>:1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f65a290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a712=83?1<7>t$0g:>4003A>8i6F;2b9'54b=:>1/=i;53708m46a2900e<??:188m4762900e<?=:188k4ea2900qo=;9;291?6=8r.:i44>669K06c<@=8h7)?>d;68 4b22:<97d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd4=;0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg52?3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7)?k5;155>o68o0;66g>1183>>i6ko0;66sm34a94?5=83:p(<k6:046?M24m2B?>n5+10f9=>"6l<08:<5f11d94?=n98:1<75`1bd94?=zj:?:6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e;<<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>:5+1e79736<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c16f?6==3:1<v*>e88222=O<:o0D9<l;%32`?2<,8n>6>8?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th89=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk9>97>55;294~"6m00:::5G42g8L14d3-;:h7<8;%3g1?52n2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a70g=83?1<7>t$0g:>4003A>8i6F;2b9'54b=<2.:h84<5g9j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>:i:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?8:50;794?6|,8o26<88;I60a>N3:j1/=<j5269'5a3=;<o0e<>i:188m4772900e<?>:188m4752900c<mi:188yg5213:197>50z&2a<<6>>1C8>k4H50`?!76l3>0(<j::27f?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<4d83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=:3;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39>h6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;<21<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d4801a=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb21f>5<4290;w)?j9;60`>N3;l1C8?m4i4`94?=n9?81<75`1bd94?=zj:9o6=4<:183!7b13>8h6F;3d9K07e<a<h1<75f17094?=h9jl1<75rb20`>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm32a94?5=83:p(<k6:51g?M24m2B?>n5f5c83>>o6>;0;66a>cg83>>{e;;h1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd4;k0;6>4?:1y'5`?=<:n0D9=j;I61g>o2j3:17d?92;29?j7dn3:17pl<2`83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=<a;297?6=8r.:i44;3e9K06c<@=8h7d;m:188m4052900c<mi:188yg5513:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f65>29086=4?{%3f=?24l2B??h5G43a8m0d=831b=;<50;9l5f`=831vn><7:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?>650;194?6|,8o269=k;I60a>N3:j1b9o4?::k227<722e:ok4?::a771=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`072<72:0;6=u+1d;906b<@=9n7E:=c:k6f?6=3`;=>7>5;n3`b?6=3th8>;4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk98:7>53;294~"6m00??i5G42g8L14d3`?i6=44i041>5<<g8im6=44}c111?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb207>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm36;94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f61?290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk9<;7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl<7783>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a723=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj:=?6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo=83;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`037<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e;>;1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn9>l:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:i6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f16f290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb52:>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>7:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:<6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f161290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb526>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>;:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:lj6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`>290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d;>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h8:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l=6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`2290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d7>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h<:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l96=4::183!7b13;;n6F;3d9K07e<,8;o685f5983>>o213:17d8=:188m4b12900c<j8:188yg5b03:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f3?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j6;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n97>55;294~"6m00:<45G42g8L14d3-;:h7?4i4:94?=n=00;66g:e;29?l052900c<j8:188yg5b<3:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f7?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j2;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n=7>55;294~"6m00:<o5G42g8L14d3-;:h7;4i4:94?=n=00;66g92;29?l7c>3:17b?k7;29?xd4m90;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`3<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<d483>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8h94?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4l;0;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`5<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<cd83>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8on4?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4kh0;684?:1y'5`?=99h0D9=j;I61g>"69m0>7d;7:188m0?=831b:?4?::k2`3<722e:h:4?::a7f>=83?1<7>t$0g:>46e3A>8i6F;2b9'54b==2c>47>5;h7:>5<<a?81<75f1e494?=h9m=1<75rb357>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg40;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9;?4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm26394?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f717290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8=j7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=6d83>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a63b=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;<h6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<>b;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`15d<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:831<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn??7:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c023?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd59?0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi><;50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb337>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg46;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9no4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm2cc94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7d>290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8i47>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=b683>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6g0=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;h>6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<m4;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1f6<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:?81<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?8>:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c054?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5=o0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>8k50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb37g>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg42k3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th99o4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm24c94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7??290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd51>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;3=6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9584?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?7;:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=9283>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb3;1>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1=4<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f7?7290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;o0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;9n6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9?i4?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?=l:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=3c83>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb31b>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`17<<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f75?290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;8o6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<=c;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`16g<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:;k1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?<6:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c01<?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5:>0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>?850;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb306>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg4?>3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9484?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm29694?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7>4290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk83>7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=8083>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6=6=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;=m6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<8e;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3`;;j7>5;h324?6=3f;hj7>5;|`1a=<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3-;o97:;c:k24c<722c:==4?::m2gc<722wi?o?50;194?6|,8o26<8:;I60a>N3:j1/=<j59:k24c<722c:==4?::m2gc<722wi8=h50;694?6|,8o26<89;I60a>N3:j1/=<j5589'5a3=<=i0e<>i:188m4772900e<?>:188k4ea2900qo<j4;291?6=8r.:i44>669K06c<@=8h7)?>d;331>o68o0;66g>1183>>o6980;66g>1383>>i6ko0;66sm39794?0=83:p(<k6:04;?M24m2B?>n5+10f954=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj=8?6=4<:183!7b13;=96F;3d9K07e<,8;o6<<4i02e>5<<a8;;6=44o0ae>5<<uk>9m7>53;294~"6m00::85G42g8L14d3-;:h7?=;h33b?6=3`;:<7>5;n3`b?6=3th85;4?:483>5}#9l31=;94H51f?M25k2.:=i4=7:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?4:50;794?6|,8o26<88;I60a>N3:j1/=<j5269j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>7=:186>5<7s-;n57?97:J77`=O<;i0(<?k:358m46a2900e<??:188m4762900e<?=:188k4ea2900qo=60;291?6=8r.:i44>669K06c<@=8h7)?>d;04?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<9983>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm3`;94?3=83:p(<k6:044?M24m2B?>n5+10f960=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb2c4>5<2290;w)?j9;353>N3;l1C8?m4$03g>73<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c1b1?6==3:1<v*>e88222=O<:o0D9<l;%32`?423`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`0e6<72<0;6=u+1d;9531<@=9n7E:=c:&25a<5=2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a7dd=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f7ed290>6=4?{%3f=?71?2B??h5G43a8 47c2;?0e<>i:188m4772900e<?>:188m4752900c<mi:188yg4di3:197>50z&2a<<6>>1C8>k4H50`?!76l38>7d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd5k10;684?:1y'5`?=9?=0D9=j;I61g>"69m0996g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:j<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>85f11d94?=n98:1<75f10394?=n9881<75`1bd94?=zj;in6=4<:183!7b13;=96F;3d9K07e<,8;o6?84i02e>5<<a8;;6=44o0ae>5<<uk8oj7>55;294~"6m00:::5G42g8L14d3-;:h7<;;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th9hi4?:483>5}#9l31=;94H51f?M25k2.:=i4=4:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>il50;794?6|,8o26<88;I60a>N3:j1/=<j5249j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn?j6:186>5<7s-;n57?97:J77`=O<;i0(<?k:368m46a2900e<??:188m4762900e<?=:188k4ea2900qo<j1;297?6=8r.:i44>649K06c<@=8h7)?>d;05?l77n3:17d?>0;29?j7dn3:17pl=fb83>1<729q/=h751748L15b3A>9o6*>1e816>o68o0;66g>1183>>o6980;66a>cg83>>{e;9<1<7950;2x 4c>28<27E:<e:J76f=#98n1?85f11d94?=n98:1<75f10394?=n9881<75f10194?=n98>1<75`1bd94?=zj::?6=49:183!7b13;=46F;3d9K07e<,8;o6>:4i02e>5<<a8;;6=44i032>5<<a8;96=44i030>5<<g8im6=44}c0f`?6=;3:1<v*>e88220=O<:o0D9<l;%32`?7>3-;o97:;a:k24c<722c:==4?::m2gc<722wi>hk50;694?6|,8o26<89;I60a>N3:j1/=<j51e9'5a3=<=k0e<>i:188m4772900e<?>:188k4ea2900qo=me;290?6=8r.:i44>679K06c<@=8h7)?>d;01?l77n3:17d?>0;29?l7693:17b?lf;29?xd4k<0;6:4?:1y'5`?=9?30D9=j;I61g>"69m0896g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>cg83>>{e;j91<7850;2x 4c>28<37E:<e:J76f=#98n1?95f11d94?=n98:1<75f10394?=n9881<75f10194?=h9jl1<75rb36b>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<a8:m6=44i033>5<<g8im6=44}c07g?6=<3:1<v*>e88223=O<:o0D9<l;%32`?4?3-;o97:;9:k24c<722c:==4?::k254<722e:ok4?::a6d>=8391<7>t$0g:>4023A>8i6F;2b9'54b=901b==h50;9j546=831d=nh50;9~f7gf290?6=4?{%3f=?71>2B??h5G43a8 47c2;20(<j::56;?l77n3:17d?>0;29?l7693:17b?lf;29?xd6n00;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d48715=n99l1<75f10294?=h9jl1<75rb0d;>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69;?;h33b?6=3`;:<7>5;n3`b?6=3th:j:4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3==1b==h50;9j546=831d=nh50;9~f4`129086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::577?l77n3:17d?>0;29?j7dn3:17pl>f483>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9>5f11d94?=n98:1<75`1bd94?=zj8l?6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1343`;;j7>5;h324?6=3f;hj7>5;|`2b6<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4g9j55`=831b=<>50;9l5f`=831vn<h=:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>m7d??f;29?l7683:17b?lf;29?xd6n80;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d4870`=n99l1<75f10294?=h9jl1<75rb0d3>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69:j;h33b?6=3`;:<7>5;n3`b?6=3th:ik4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3=;1b==h50;9j546=831d=nh50;9~f4cb29086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::571?l77n3:17d?>0;29?j7dn3:17pl>ee83>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9<5f11d94?=n98:1<75`1bd94?=zj8oh6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1363`;;j7>5;h324?6=3f;hj7>5;|`2ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4e9j55`=831b=<>50;9l5f`=831vn<kn:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>o7d??f;29?l7683:17b?lf;29?xd5<m0;684?:1y'5`?=9?=0D9=j;I61g>"69m0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:=l1<7850;2x 4c>28<37E:<e:J76f=#98n1>45+1e7901?<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o0ae>5<<uk8>=7>57;294~"6m00::45G42g8L14d3-;:h7?l;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th9mo4?:483>5}#9l31=;94H51f?M25k2.:=i4>b:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>lj50;494?6|,8o26<87;I60a>N3:j1/=<j5289'5a3=<=20e<>i:188m4772900e<?>:188m4752900e<?<:188k4ea2900qo<nf;293?6=8r.:i44>689K06c<@=8h7)?>d;3`?l77n3:17d?>0;29?l7693:17d?>2;29?l76;3:17d?>4;29?j7dn3:17pl<0983>6<729q/=h751778L15b3A>9o6*>1e817>o68o0;66g>1183>>i6ko0;66sm31594?1=83:p(<k6:04:?M24m2B?>n5+10f970=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb2a4>5<4290;w)?j9;351>N3;l1C8?m4$03g>75<a8:m6=44i033>5<<g8im6=44}c1`2?6=?3:1<v*>e8822<=O<:o0D9<l;%32`?523`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;hj7>5;|`11=<72>0;6=u+1d;953?<@=9n7E:=c:&25a<6k2c:<k4?::k255<722c:=<4?::k257<722c:=>4?::k251<722e:ok4?::a6d3=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=9j1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f734290<6=4?{%3f=?7112B??h5G43a8 47c28i0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg42>3:187>50z&2a<<6>?1C8>k4H50`?!76l3;j7d??f;29?l7683:17d?>1;29?j7dn3:17pl=5483>2<729q/=h7517;8L15b3A>9o6*>1e81e>o68o0;66g>1183>>o6980;66g>1383>>o69:0;66g>1583>>i6ko0;66sm2c394?1=83:p(<k6:04:?M24m2B?>n5+10f95f=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb3c0>5<3290;w)?j9;352>N3;l1C8?m4$03g>4g<a8:m6=44i033>5<<a8;:6=44o0ae>5<<uk8j>7>57;294~"6m00::45G42g8L14d3-;:h7<n;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th?>54?:583>5}#9l31=;84H51f?M25k2.:=i4>019j55`=831b=<>50;9j547=831d=nh50;9~f142290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;h7;>5<<a?81<75f1e494?=h9m=1<75rb2:0>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd40=0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0j7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?j7dn3:17pl<2e83>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm33g94?5=83:p(<k6:046?M24m2B?>n5+10f963=n99l1<75f10294?=h9jl1<75rb20e>5<4290;w)?j9;351>N3;l1C8?m4$03g>70<a8:m6=44i033>5<<g8im6=44}c104?6=;3:1<v*>e88220=O<:o0D9<l;%32`?413`;;j7>5;h324?6=3f;hj7>5;|`074<72:0;6=u+1d;9533<@=9n7E:=c:&25a<5>2c:<k4?::k255<722e:ok4?::a764=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f65429086=4?{%3f=?71=2B??h5G43a8 47c2;<0e<>i:188m4772900c<mi:188yg54<3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7d??f;29?l7683:17b?lf;29?xd4;<0;6>4?:1y'5`?=9??0D9=j;I61g>"69m09:6g>0g83>>o6990;66a>cg83>>{e:on1<7;50;2x 4c>28<<7E:<e:J76f=#98n1=h5+1e79010<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c134?6=>3:1<v*>e8822==O<:o0D9<l;%32`?7a3-;o97:;6:k24c<722c:==4?::k254<722c:=?4?::k256<722e:ok4?::a754=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=:91b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f6e6290<6=4?{%3f=?7112B??h5G43a8 47c2;:0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg5e:3:1?7>50z&2a<<6><1C8>k4H50`?!76l330e<>i:188m4772900c<mi:188yg5c;3:187>50z&2a<<6>?1C8>k4H50`?!76l3;;<6g>0g83>>o6990;66g>1083>>i6ko0;66sm3b;94?5=83:p(<k6:046?M24m2B?>n5+10f95<=#9m?189l4i02e>5<<a8;;6=44o0ae>5<<uk9o=7>57;294~"6m00::45G42g8L14d3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th8oi4?:483>5}#9l31=;94H51f?M25k2.:=i4>e:&2`0<3<>1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f6ee290?6=4?{%3f=?71>2B??h5G43a8 47c28n0(<j::56a?l77n3:17d?>0;29?l7693:17b?lf;29?xd4ko0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0:j6*>d48702=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj;oh6=4=:183!7b13;==6F;3d9K07e<a8:n6=44o0ae>5<<uk9i87>52;294~"6m00::<5G42g8L14d3`;;i7>5;n3`b?6=3th?<i4?:ca94?6|,8o26<j7;I60a>N3:j1Q9:4l{01950<b2>036n4>7;37>4>=n3;=6o4rn71961=i>=0986`k3;28jc3=82.:<>4>079'552=9l20(<k::39'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<k53:&25c<43-;9<7=4$002>6=#9;81?6*>2280?!75<390(<<::29'570=;2.:>:4<;%31<?5<,8826>5+13c97>"6:k087)?=c;18 44c2:1/=?k53:&26c<43-;8<7=4$012>6=#9:81?6*>3280?!74<390(<=::29'560=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=;>51bg8 4bc28o<7)?ke;7:?!7cn3?27)?j1;77?!7b:3;n86*>5d81?!72n380(9=n:51:?!24j3>856*>e781?l7c13:17d;l:188m4652900e<jl:188m0b=831b=io50;9j5ad=831b==?50;9jef<72-;o87om;o3g7?6<3`kj6=4+1e69eg=i9m91=65fa883>!7c<3ki7c?k3;08?lg?290/=i:5ac9m5a5=;21b8>=50;&2`1<3;;1e=i=50:9j067=83.:h94;339m5a5=921b8>>50;&2`1<3;;1e=i=52:9j07`=83.:h94;339m5a5=;21doo4?:%3g0?ef3g;o?7>4;na:>5<#9m>1ol5a1e195>=hk>0;6)?k4;ab?k7c;3807bm9:18'5a2=kh1e=i=53:9lg0<72-;o87mn;o3g7?2<3fi?6=4+1e69gd=i9m91965`c283>!7c<3ij7c?k3;48?je5290/=i:5c`9m5a5=?21do<4?:%3g0?ef3g;o?764;na3>5<#9m>1ol5a1e19=>=hjo0;6)?k4;ab?k7c;3k07blj:18'5a2=kh1e=i=5b:9lff<72-;o87mn;o3g7?e<3fhi6=4+1e69gd=i9m91h65`b`83>!7c<3ij7c?k3;g8?jd>290/=i:5c`9m5a5=n21dn54?:%3g0?ef3g;o?7??;:ma3?6=,8n?6no4n0f0>47<3fh=6=4+1e69gd=i9m91=?54oc794?"6l=0hm6`>d2827>=hj=0;6)?k4;ab?k7c;3;?76am3;29 4b32jk0b<j<:078?jb5290/=i:5c`9m5a5=9?10ci?50;&2`1<di2d:h>4>7:9l`5<72-;o87mn;o3g7?7?32ehj7>5$0f7>fg<f8n86<74;naf>5<#9m>1ol5a1e195d=<gjn1<7*>d58`e>h6l:0:n65`cb83>!7c<3ij7c?k3;3`?>id03:1(<j;:bc8j4b428n07blk:18'5a2=kh1e=i=51d98kg4=83.:h94la:l2`6<6n21b?o4?:%3g0?5f3g;o?7>4;h1:>5<#9m>1?l5a1e195>=n;10;6)?k4;1b?k7c;3807d=8:18'5a2=;h1e=i=53:9j01<72-;o87=n;o3g7?2<3`>86=4+1e697d=i9m91965f4383>!7c<39j7c?k3;48?l26290/=i:53`9m5a5=?21b8=4?:%3g0?5f3g;o?764;h1e>5<#9m>1?l5a1e19=>=n;l0;6)?k4;1b?k7c;3k07d=k:18'5a2=;h1e=i=5b:9j7f<72-;o87=n;o3g7?e<3`9=6=4+1e697d=i9m91h65f4`83>!7c<3>27c?k3;28?l2?290/=i:5489m5a5=921b8:4?:%3g0?2>3g;o?7<4;h65>5<#9m>1845a1e197>=n=:0;6)?k4;6:?k7c;3>07d;=:18'5a2=<01e=i=55:9j14<72-;o87:6;o3g7?0<3`?;6=4+1e690<=i9m91;65f4g83>!7c<3>27c?k3;:8?l2b290/=i:5489m5a5=121b8i4?:%3g0?2>3g;o?7o4;h6`>5<#9m>1845a1e19f>=n<k0;6)?k4;6:?k7c;3i07d:::18'5a2=<01e=i=5d:9je5<72-;o877i;o3g7?6<3`3n6=4+1e69=c=i9m91=65f9b83>!7c<33m7c?k3;08?l?e290/=i:59g9m5a5=;21b5l4?:%3g0??a3g;o?7:4;h;:>5<#9m>15k5a1e191>=n110;6)?k4;;e?k7c;3<07d78:18'5a2=1o1e=i=57:9j=3<72-;o877i;o3g7?><3`3>6=4+1e69=c=i9m91565f9583>!7c<33m7c?k3;c8?l?4290/=i:59g9m5a5=j21b5<4?:%3g0??a3g;o?7m4;h;3>5<#9m>15k5a1e19`>=n0o0;6)?k4;;e?k7c;3o07d6j:18'5a2=1o1e=i=5f:9j<a<72-;o877i;o3g7?7732c3o7>5$0f7><`<f8n86<?4;h:a>5<#9m>15k5a1e1957=<a1k1<7*>d58:b>h6l:0:?65f8883>!7c<33m7c?k3;37?>o?03:1(<j;:8d8j4b428?07do8:18'5a2=1o1e=i=51798md0=83.:h946f:l2`6<6?21bm84?:%3g0??a3g;o?7?7;:kb0?6=,8n?64h4n0f0>4?<3`k86=4+1e69=c=i9m91=l54i`094?"6l=02j6`>d282f>=ni80;6)?k4;;e?k7c;3;h76g6d;29 4b320l0b<j<:0f8?l?5290/=i:59g9m5a5=9l10e5950;&2`1<>n2d:h>4>f:9lbd<72-;o87h6;o3g7?6<3fl36=4+1e69b<=i9m91=65`f683>!7c<3l27c?k3;08?j`1290/=i:5f89m5a5=;21bn<4?:%3g0?d73g;o?7>4;hce>5<#9m>1n=5a1e195>=nil0;6)?k4;`3?k7c;3807dok:18'5a2=j91e=i=53:9lbc<72-;o87hj;o3g7?6<3flo6=4+1e69b`=i9m91=65`fb83>!7c<3ln7c?k3;08?j`e290/=i:5fd9m5a5=;21dii4?:%3g0?cd3g;o?7>4;nga>5<#9m>1in5a1e195>=hm00;6)?k4;g`?k7c;3807bk7:18'5a2=mj1e=i=53:9la2<72-;o87kl;o3g7?2<3fo=6=4+1e69af=i9m91965`e483>!7c<3oh7c?k3;48?jc3290/=i:5eb9m5a5=?21di>4?:%3g0?cd3g;o?764;ng1>5<#9m>1in5a1e19=>=hm80;6)?k4;g`?k7c;3k07bk?:18'5a2=mj1e=i=5b:9l``<72-;o87kl;o3g7?e<3fno6=4+1e69af=i9m91h65`db83>!7c<3oh7c?k3;g8?jbe290/=i:5eb9m5a5=n21dhl4?:%3g0?cd3g;o?7??;:mg=?6=,8n?6hm4n0f0>47<3fn36=4+1e69af=i9m91=?54oe594?"6l=0no6`>d2827>=hl?0;6)?k4;g`?k7c;3;?76ak5;29 4b32li0b<j<:078?j`3290/=i:5eb9m5a5=9?10ck=50;&2`1<bk2d:h>4>7:9lb7<72-;o87kl;o3g7?7?32em=7>5$0f7>`e<f8n86<74;nd3>5<#9m>1in5a1e195d=<gll1<7*>d58fg>h6l:0:n65`ed83>!7c<3oh7c?k3;3`?>ibi3:1(<j;:da8j4b428n07bji:18'5a2=mj1e=i=51d98ka2=83.:h94jc:l2`6<6n21b8>650;&2`1<3;>1e=i=50:9j060=83.:h94;369m5a5=921b8>;50;&2`1<3;>1e=i=52:9j062=83.:h94;369m5a5=;21b;k4?:%3g0?1b3g;o?7>4;h5g>5<#9m>1;h5a1e195>=n?k0;6)?k4;5f?k7c;3807d9n:18'5a2=?l1e=i=53:9j3<<72-;o879j;o3g7?2<3`=36=4+1e693`=i9m91965f7683>!7c<3=n7c?k3;48?l11290/=i:57d9m5a5=?21b;84?:%3g0?1b3g;o?764;h57>5<#9m>1;h5a1e19=>=n?:0;6)?k4;5f?k7c;3k07d9=:18'5a2=?l1e=i=5b:9j35<72-;o879j;o3g7?e<3`<m6=4+1e693`=i9m91h65f6d83>!7c<3=n7c?k3;g8?l0c290/=i:57d9m5a5=n21b:n4?:%3g0?1b3g;o?7??;:k5f?6=,8n?6:k4n0f0>47<3`<j6=4+1e693`=i9m91=?54i7;94?"6l=0<i6`>d2827>=n>10;6)?k4;5f?k7c;3;?76g97;29 4b32>o0b<j<:078?l>1290/=i:57d9m5a5=9?10e5;50;&2`1<0m2d:h>4>7:9j<1<72-;o879j;o3g7?7?32c3?7>5$0f7>2c<f8n86<74;h:1>5<#9m>1;h5a1e195d=<a1;1<7*>d584a>h6l:0:n65f8183>!7c<3=n7c?k3;3`?>o0k3:1(<j;:6g8j4b428n07d9>:18'5a2=?l1e=i=51d98m30=83.:h948e:l2`6<6n21v97j:181[20m27?<i48f:p0<e=838pR99k;<63`?1c3ty?5l4?:3y]02d<5=:o6:l4}r6:=?6=:rT?;l5241f93d=z{=336=4={_64=>;38m0<56s|48594?4|V==370:?d;5;?xu31?0;6?uQ4658916c2>=0q~:65;296~X3??168=j5779~w1?32909wS:85:?74a<0=2wx84=50;0xZ11334>;h79;;|q7=7<72;qU8:=4=52g>25<uz>2=7>52z\737=:<9n1;?5rs5:e>5<5sW><<63;0e844>{t<1o1<7<t^54e?827l3<m7p};8e83>7}Y<?o019>k:7g8yv2?k3:1>vP;6e9>05b=>m1v96m:181[21k27?<i49c:p0=g=838pR98m;<63`?0e3ty?444?:3y]03g<5=:o6;o4}r6;<?6=:rT?:45241f92<=z{=2<6=4={_65<>;38m0=46s|49494?4|V=<<70:?d;44?xu3i<0;6?uQ4968916c21<0q~:n4;296~X30:168=j5849~w1g42909wS:72:?74a<?<2wx8l<50;0xZ1>634>;h76<;|q7e4<72;qU85>4=52g>=4<uz>j<7>52z\73c=:<9n14<5rs5;e>5<5sW><o63;0e8;4>{t<0h1<7<t^552?827l3=h7p};9183>7}Y<?<019>k:638yv2?=3:1>vP;649>05b=>?1v8=?:180[35>2789:4>0g9>70e=98:0q~;=e;297~X2:<16?8851038963e28;97p}:2e83>6}Y=;>01>;9:02e?852j3;:=6s|53a94?5|V<8870=:5;325>;4=h0:=?5rs40a>5<4sW?9>63<54824c=:;<k1=<?4}r71e?6=;rT>><523469547<5:?26<?=;|q66<<72:qU9?>4=277>46a349>57?>1:p17>=839pR8?i;<167?769278954>139~w0402908wS;>e:?016<68o16?8651038yv33;3:1?vP:389>71>=99l01>:k:033?xu2<80;6>uQ52:8962028;:70=;c;326>{t==:1<7=t^414?853?3;;j63<4b8254=z{<9m6=4<{_702>;4<?0:=<5235`9544<uz?8i7>53z\670=:;=<1==h4=26a>4763ty>?i4?:2y]162<5:>>6<?>;<17e?76:2wx9>m50;1xZ054349?97??f:?00d<6981v8=m:180[34:278894>109>71?=9880q~;<a;297~X2;816?9:511d8962>28;:7p}:4583>17|V<>?70<j7;7;?84b>3?370<j9;7;?825:3?370:=1;7;?826j3?370:>a;7;?82613?370:=0;7;?855;3?370==2;7;?85593?370==0;7;?856n3?370=>e;7;?856l3?370=>c;7;?856j3?370=>1;7;?85683?370=?f;7;?857m3?370=?d;7;?857k3?370=?b;7;?857i3?370=?9;7;?840<3?370<83;7;?840:3?370<81;7;?84083?370<9f;7;?841m3?370<9d;7;?841k3?370<mb;7;?84ei3?370<m9;7;?84e03?370<m7;7;?84e>3?370<m5;7;?84e<3?370<m3;7;?84>03?370<67;7;?84>>3?370<65;7;?84><3?370<63;7;?84>:3?370<61;7;?84>83?370<=d;7;?845k3?370<=b;7;?845i3?370<=9;7;?84503?370<=7;7;?845>3?370<=5;7;?827l3?o7p}:4g83>0}Y==l01?km:02e?84b03;;j63;0g824c=::l>1==h4}r765?6=?rT>9<5240091`=:<8?1=i84=537>4b134>9<7?k6:?756<6l?168?;51e48yv3203:19kuQ54:896d72<201>oi:4:891752<2019<8:4:891722<2019<9:4:891732<2019?i:4:8917b2<2019?<:4:8961>2<201>97:4:896102<201>99:4:896122<201>9;:4:896142<201>9=:4:896162<2019>l:4:8916e2<2019>n:4:8916>2<2019>7:4:891602<2019>9:4:891622<2019>;:4:896`f2<201>h6:4:896`?2<201>h8:4:896`12<201>h::4:896`32<201>h<:4:896`52<201>k7:4:896c02<201>k9:4:896c22<201>k;:4:896c42<201>k=:4:896c62<201>k?:4:896b12<201>j::4:896b32<201>j=:4:896b72<201>mj:4:896ed2<201>mn:4:896e?2<201??m:4:8977f2<201??6:4:8977?2<201??8:4:897712<201??::4:897732<201??<:4:897052<201?8>:4:897072<201?;i:4:8973b2<201?;k:4:8973d2<201?;m:4:8973f2<201?=i:4:8975b2<201?=k:4:8975d2<201?=m:4:8975f2<201?=6:4:8975?2<201?=8:4:897>12<201?6::4:897>32<201?6<:4:897>52<201?6>:4:897>72<201?9i:4:8971b2<2019<::4:896>42<2019>k:4a8yv31;3:1mvP:629>7g7=99l01>6::02e?85e:3;;j63<d2824c=:;j31==h4=2f2>477349hh7?>0:?0gg<69916?nh51028yv21<3:1;ouQ4708Z1063W>=<6P;5g9]00c<V=?o7S::c:\71g=Y<<k0R8:k;_77g>X2<k1U99o4^46:?[3302T>8:5Q5548Z0223W?==6P:619]10`<V<?n7S;:d:\61f=Y=<h0R8;n;_76=>X3=<1U8884^5d0?[2a<2T>985Q5458Z0353W>=863;3g824f=:<8h1:?5240c927=:<831:?52432927=:<8l1:?5240g927=:<891:?5239f920=:;1i1:85239`920=:;1k1:85239g920=:;h:1:85238d920=:;0o1:85238f920=:;h;1:8522b1920=::j81:8522b3920=::j:1:8522b6920=::m<1:8522e7920=::m>1:8522e1920=::m=1:85233691g=:;;>1=h>4=50;>47634>;h7?k9:?74a<6lh168=j51e`8916c2hi019>k:`c8916c2h3019>k:`:8916c2:l019>k:2g8916c2:n019>k:2a8916c2:<019>k:5g8916c2=n019>k:5a8916c2=h019>k:578916c2h:019>k:8g8916c20i019>k:8`8916c20k019>k:8;8916c202019>k:858916c20<019>k:878916c20>019>k:818916c20;019>k:828916c21l019>k:9g8916c21n019>k:9a8916c21h019>k:9c8916c213019>k:9:8916c2h=019>k:`48916c2h?019>k:`68916c2h9019>k:`08916c2h;019>k:8f8916c208019>k:958916c2k;019>k:`d8916c2ho019>k:`f8916c2=9370:?d;602>;38m0??85241f9062<uz>oj7>52z\7fc=:<9n1ii5rs5fg>5<5sW>ii63;0e8ff>{t<mh1<7<t^5``?827l3o27p};d`83>7}Y<kh019>k:d:8yv2c13:1>vP;b`9>05b=m>1v9j7:181[2e127?<i4j6:p0a1=838pR9l7;<63`?c23ty?h;4?:3y]0g1<5=:o6h:4}r6g1?6=:rT?n;5241f9a6=z{=n?6=4={_6a1>;38m0n>6s|4e194?4|V=h?70:?d;g2?xu3l;0;6?uQ4c18916c2l:0q~:k0;296~X3j8168=j5dd9~w1ea2909wS:m0:?74a<cl2wx8nk50;0xZ1ga34>;h7jl;|q7ga<72;qU8lk4=52g>ad<uz>ho7>52z\7ea=:<9n1hl5rs5aa>5<5sW>jo63;0e8g=>{t<jk1<7<t^5ca?827l3n37p};c883>7}Y<hk019>k:e58yv2d03:1>vP;a89>05b=l?1v9m8:181[2f027?<i4k5:p0`0=838pR9m:;<63`?`33ty?i84?:3y]0f2<5=:o6k=4}r6f0?6=:rT?o>5241f9b7=z{=o86=4={_6`6>;38m0m=6s|4d094?4|V=i:70:?d;d3?xu3m80;6?uQ4b28916c2ll0q~:j0;296~X3jm168=j5ed9~w1bd2909wS:m2:?74a<bi2wx8i?50;0xZ1g034>;h7ji;|q7g3<72;qU8l84=52g>a2<uz8n;7>53z\7a==::l=1=i94=3g;>4773ty8n=4?:3y]0`c<5:h;6<j8;|q0<6<72:qU9<=4=2:0>4b0349387??f:p6`?=838pR8;9;<0f=?7c?2wx=;k50;5x912728n:70=7a;7a?85>l3?i70<l0;7a?84c;3?i70=<f;7a?853m3?i7p}=e283>6}::l=1:?522d4927=::l>1=nh4}r62<?6=9?q6>h951e4897c128n=70<j9;7f?826j3;o;63<2286a>;4:;0>i63<2086a>;4:90>i63<1g86a>;49l0>i63<1e86a>;49j0>i63<1c86a>;4980>i63<1186a>;48o0>i63<0d86a>;48m0>i63<0b86a>;48k0>i63<0`86a>;4800:h;5rs3g5>5<3s48n:7?k7:?1ag<699168=h5103897c328;;7p}=e`83>7}::l31:?522d:95f`<uz9jh7>53z?0f5<1:278mk492:?0<0<6ko1v9?;:18685e83;o:63<ag82`3=:<8?1:?5240695a1<5:286<j9;|q0ec<72?q6?lh51e5896d628;;70=75;325>;40=0:=<523c09546<5:h?6<>j;|q750<72;q68<<5639>043=9m=0q~:>2;297~;39;0:h:523979546<5:2?6<??;|q764<72:q68?<5639>077=9m=019<?:4;8yv25:3:1>v3;2382`2=:<;>1==h4}r612?6=;r7?>:492:?763<6l>168?6511d8yv25?3:1>v3;2682`2=:<;k1==h4}r614?6=;r7?><492:?765<6l>168?:51028yv25=3:18v3;27856>;3:h0:==5243:9546<5=8>6<j8;|q756<72;q68<:5639>045=9m=0q~:=3;290~;39k0:h;5240c95a0<5=;26<j9;<610?7dn2wx8<950;06826i3;o;63=7586a>;5?:0>i63=7386a>;5?80>i63=7186a>;5>o0>i63=6d86a>;5>m0>i63=6b86a>;5jk0>i63=b`86a>;5j00>i63=b986a>;5j>0>i63=b786a>;5j<0>i63=b586a>;5j:0>i63=9986a>;51>0>i63=9786a>;51<0>i63=9586a>;51:0>i63=9386a>;5180>i63=9186a>;5:m0>i63=2b86a>;5:k0>i63=2`86a>;5:00>i63=2986a>;5:>0>i63=2786a>;5:<0>i6s|40494?5|5=;26<j8;<63b?76827?<i4>db9~w14>2908w0:>f;3g2>;39l0:h;5243c95f`<uz>:h7>52dy>04`=9m=01>96:4g8961?2<o01>98:4g896112<o01>9::4g896132<o01>9<:4g896152<o01>9>:4g8916d2<o019>m:4g8916f2<o019>6:4g8916?2<o019>8:4g891612<o019>::4g891632<o01>hn:4g896`>2<o01>h7:4g896`02<o01>h9:4g896`22<o01>h;:4g896`42<o01>h=:0f5?85b03?n70=j7;7f?85b>3?n70=j5;7f?85b<3?n70=j3;7f?85b:3?n70=j1;3g2>;4m90>i63<d786a>;4l<0>i63<d586a>;4l;0>i63<d186a>;4kl0>i63<cb86a>;4kh0:h;523b:95a0<uz>:o7>524y>04c=9m=01??m:4g8977f2<o01??6:4g8977?2<o01??8:4g897712<o01??::4g897732<o01??<:4g897052<o01?8>:4g897072<o01?;i:4g8973b2<o01?;k:4g8973d2<o01?;m:4g8973f2<o01?=i:4g8975b2<o01?=k:4g8975d2<o01?=m:4g8975f2<o01?=6:4g8975?2<o01?=8:4g897>12<o01?6::4g897>32<o01?6<:4g897>52<o01?6>:4g897>72<o01?9i:4g8971b2<o0q~=78;296~;40m0>n63<8b82gc=z{:3>6=4={<1;`?7b82785;4>cg9~w6>>2909w0=7d;3`b>;40l0>n6s|39594?4|5:2h68l4=2:a>4ea3ty85>4?:3y>7=e=9l:01>7;:0ae?xu40?0;6?u239`91g=:;1k1=nh4}r1:5?6=:r784o4>e19>7<4=9jl0q~=7f;296~;40h0:i=5238295f`<uz92;7>52z?0<`<6m916?4651bd8yv5fk3:1>v3<8d82gc=:;1?1=<=4}r1:f?6=:r78m=4:b:?0=c<6ko1v>o7:18185f83;n<63<a882gc=z{:3h6=4={<1b4?7dn278m<4:b:p7<g=838p1>7i:4`896?b28im7p}<a783>7}:;0l1=h>4=2c4>4ea3ty8544?:3y>7<c==k16?4j51bd8yv5f<3:1>v3<9d82a5=:;h?1=nh4}r1b6?6=:r785i4>e19>7d5=9jl0q~=na;296~;4i80:i=523``95f`<uz9ji7>52z?0e4<6ko16?5;51008yv4em3:1>v3=c286f>;5k;0:ok5rs3aa>5<5s48h?7?j0:?1gf<6ko1v?li:18184d;3;hj63=c586f>{t:kn1<7<t=3a1>0d<5;i:6<mi;|q1g<<72;q6>n<51d2897ef28im7p}=bb83>7}::j;19o522b295f`<uz8h;7>52z?1g4<6m916>n651bd8yv4d=3:1>v3=c182a5=::j<1=nh4}r0``?6=:r79o94>e19>6fc=9jl0q~<j2;296~;5k=0:ok522d69547<uz8o=7>52z?1`3<2j279h84>cg9~w7bb2909w0<k6;3f4>;5lo0:ok5rs3f1>5<5s48o:7?lf:?1`2<2j2wx>i>50;0x97b22<h01?j;:0ae?xu5lj0;6?u22e795`6<5;no6<mi;|q1gc<72;q6>i:55c9>6a5=9jl0q~<ka;296~;5l=0:i=522e`95f`<uz8o47>52z?1`6<6m916>i751bd8yv4b83:1>v3=d682a5=::l;1=nh4}r0f1?6=:r79h:4>cg9>6`2=9880q~:>0;2956}:;;91945233091<=:;;;1945233291<=:;8l1945230g91<=:;8n1945230a91<=:;8h1945230391<=:;8:1945231d91<=:;9o1945231f91<=:;9i1945231`91<=:;9k1945231;91<=::lh1=nh4}r0e3?6=<r78>>492:?054<6l>16>h?51028966028:m7p}<1`83>0}:;;91=i94=30g>34<5;in6<??;<3e5?76827?<i4;a:p6c0=83?p1><=:708967728n<70<kf;325>;48?0:<k523159546<uz9:57>55z?067<6l>16>nm5100894`628:m70?i0;324>;38m0?46s|2g794?0|5:8:6;<4=22e>4b0348oj7?>2:?043<69916?=:511d8966?28:m7p}<1983>0}:;;;1=i94=3a`>47634;m<7??f:?2ac<699168=j5469~w7`3290<w0==0;41?857m3;o;63=de8254=:;9<1=<?4=227>477349;47?>0:?047<68o1v>?8:18685583;o;63=c`8257=:9ll1==h4=0gf>47734>;h7:9;|q1b6<721q6?<h5639>75b=9m=01?jk:031?857>3;:>63<058254=:;9=1=<?4=223>46a349;>7?>0:p740=83?p1>?i:0f4?84di3;:=63>ed824c=:9ln1=<>4=52g>05<uz8m>7>59z?05`<1:278<n4>d69>6ad=98801>>9:030?857<3;:>63<068257=::on1==h4=223>477349;>7?>1:p743=83?p1>?j:0f4?84d03;:>63>ee824c=:9li1=<>4=52g>04<uz8m=7>58z?05a<1:278<o4>d69>6ad=98;01?hl:02e?84bm3;;j63=fe8257=:;9:1=<=4=221>4733ty8=94?:4y>74b=9m=01?m7:032?87bk3;;j63>ec8255=:<9n19<5rs3d3>5<>s49:o78=;<13e?7c?279h44>109>6ce=98:01?kk:033?84bm3;:=63=fe8254=:;9:1=<<4=221>4743ty8=>4?:4y>74e=9m=01?m9:031?87bj3;;j63>e`8255=:<9n19=5rs3ge>5<fs49:n78=;<13=?7c?279h44>139>6ce=98;01?kk:02e?84bm3;:<63=fe8255=:;9:1=<?4=221>475348no7??e:p744=83>p1>?m:0f4?84d>3;:=63>e`824c=:<9n18k5rs224>5<5s49:=78=;<133?7dn2wx?=;50;0x96772?801>>9:0ae?xu48:0;6?u231d927=:;9>1=nh4}r135?6=:r78<h492:?047<6ko1v?hi:181857l3<970=?0;3`b>{t:oh1<7<t=22`>34<5;lo6<mi;|q1bd<72;q6?=l5639>6`c=9jl0q~<i9;296~;48h0=>63=ee82gc=z{;l36=4={<13=?05348no7?lf:p714=838p1>:<:4`8962528im7p}<4e83>7}:;=91:85235f95f`<uz9?47>52z?006<6m916?9651bd8yv51?3:1>v3<4282gc=:;1>1=<=4}r144?6==r78854>119>71b=99l01>;8:033?852k3;;j63<7882`2=z{:>:6=4={<176?3e349?=7?lf:p71e=838p1>:=:778962d28im7p}<4683>7}:;=81=h>4=264>4ea3ty8:h4?:4y>711=98:01>:l:033?852>3;:<63<5c8255=:;>=1=i94}r15b?6==r788:4>139>71e=99l01>;9:031?852j3;;j63<7982`2=z{:>;6=4={<175?3e349?<7?lf:p71d=838p1>:>:778962e28im7p}<4783>7}:;=;1=h>4=265>4ea3ty8:n4?:4y>710=98:01>:m:033?852=3;:<63<5`8255=:;>?1=i94}r15`?6==r788;4>139>71d=99l01>;::031?852i3;;j63<7782`2=z{:9m6=4={<174?3e3498j7?lf:p71g=838p1>:?:778962f28im7p}<4483>7}:;=:1=h>4=266>4ea3ty8:l4?:4y>713=98:01>:n:033?852<3;:<63<588255=:;>91=i94}r15f?6==r78884>139>71g=99l01>;;:031?85213;;j63<7582`2=z{:>26=4={<10b?02349?57?lf:p712=838p1>=i:0g3?853<3;hj6s|37:94?3|5:>?6<??;<17=?7682789>4>119>70>=98:01>9>:0f4?xu4>00;68u23569544<5:>26<>i;<167?76:278954>0g9>724=9m=0q~=:1;296~;4=;0>n63<5082gc=z{:?h6=4={<166?02349>o7?lf:p701=838p1>;=:0g3?852?3;hj6s|34094?4|5:?96<mi;<1;0?76:2wx?8>50;0x96362<h01>;?:0ae?xu4=k0;6?u2343920=:;<h1=nh4}r162?6=:r789<4>e19>700=9jl0q~=;f;296~;4=90>n63<4g82gc=z{:?j6=4={<164?02349>m7?lf:p703=838p1>;?:0g3?852=3;hj6s|35g94?4|5:>m68l4=26f>4ea3ty8944?:3y>71`=><16?8751bd8yv52<3:1>v3<4g82a5=:;<>1=nh4}r16<?6=:r788h495:?01=<6ko1v>;<:181853m3;n<63<5282gc=z{:8h6=4={<10a?3e3499o7?lf:p763=838p1>=j:041?854=3;hj6s|39094?4|5:9n6<mi;<14=?053ty8>o4?:2y>76b==k16??m55c9>77d=9jl0q~=<4;297~;4;m0::?5233a95`6<5:9?6<mi;|q0<4<72;q6?>j51bd8961?2?80q~=i0;291~;4:j0=963;0c856>;4n00:h:523849546<5:9?6<>i;|q06d<72:q6?>m55c9>77d==k16??o51bd8yv54;3:1?v3<3b8227=:;;h1=h>4=210>4ea3ty84=4?:3y>76e=9jl01>98:708yv5bn3:19v3<2c851>;38h0=>63<f982`2=:;0<1=<<4=210>46a3ty8>44?:2y>76d==k16??o55c9>77?=9jl0q~=<2;297~;4;k0::?5233c95`6<5:996<mi;|q03c<72;q6?>l51bd896112?80q~=je;291~;4:h0=963;08856>;4n>0:h:523869546<5:996<>i;|q06=<72:q6?>o55c9>77?==k16??651bd8yv5493:1?v3<3`8227=:;;31=h>4=212>4ea3ty8;h4?:3y>76g=9jl01>9::708yv5bl3:19v3<28851>;3810=>63<f782`2=:;0>1=<<4=212>46a3ty8>:4?:2y>76?==k16??655c9>771=9jl0q~=<0;297~;4;00::?5233:95`6<5:9;6<mi;|q03a<72;q6?>751bd896132?80q~=jc;291~;4:10=963;06856>;4n<0:h:523809546<5:9;6<>i;|q063<72:q6?>655c9>771==k16??851bd8yv55n3:1?v3<398227=:;;=1=h>4=20e>4ea3ty8;n4?:3y>76>=9jl01>9<:708yv5bj3:19v3<26851>;38?0=>63<f582`2=:;081=<<4=20e>46a3ty8>84?:2y>761==k16??855c9>773=9jl0q~==e;297~;4;>0::?5233495`6<5:8n6<mi;|q03g<72;q6?>951bd896152?80q~=ja;291~;4:?0=963;04856>;4n:0:h:523829546<5:8n6<>i;|q061<72:q6?>855c9>773==k16??:51bd8yv55l3:1?v3<378227=:;;?1=h>4=20g>4ea3ty8;l4?:3y>760=9jl01>9>:708yv5b13:19v3<24851>;38=0=>63<f382`2=:;0:1=<<4=20g>46a3ty8n<4?:02x96432??01>k7:4;896c02<301>k9:4;896c22<301>k;:4;896c42<301>k=:4;896c62<301>k?:4;896d528im70:?d;335>;38m0??>5241f9067<5=:o69=?;<63`?25n2wx8<?50;35827k3?270:?b;7:?827i3?270:?9;7:?82703?270:?7;7:?827>3?270:?5;7:?827<3?270=ia;7:?85a13?270=i8;7:?85a?3?270=i6;7:?85a=3?270=i4;7:?85a;3?270=i2;7:?85c>3?270=k5;7:?85c<3?270=m1;3`b>{t;o;1<7:t=52`>34<5:lj6<j8;<1:<?768278?84>0g9~w164290?w0:?c;3g3>;50?0=>63>f88255=:<9n1?o5rs521>5<3s4>;n7?k7:?2b<<68o16=k651028916c2:30q~:?1;290~;38h0:h:521g:955`<58l<6<??;<63`?5?3ty?<=4?:5y>05?=9m=01<h8:02e?87a>3;:<63;0e803>{t;ol1<7:t=52;>4b034;m:7??f:?2b0<699168=j5459~w6`b290?w0:?7;3g3>;6n<0:<k521g69546<5=:o69=4}r1e`?6=<r7?<;4>d69>5c2=99l01<h<:033?827l3>97p}<fb83>1}:<9?1=i94=0d0>46a34;m>7?>0:?74a<392wx?kl50;1x916328n<70?i2;33b>;38m0?<6s|3ed94?5|5:lj6;<4=2g;>4b0349jn7??f:p7ac=839p1>h6:70896c028n<70=n9;324>{t;mn1<7=t=2d;>34<5:o=6<j8;<1b=?77n2wx?im50;1x96`02?801>k::0f4?85f?3;:<6s|3e`94?5|5:l=6;<4=2g7>4b0349j;7??f:p7ag=839p1>h::70896c428n<70=n5;324>{t;m31<7=t=2d7>34<5:o96<j8;<1b1?77n2wx?i650;1x96`42?801>k>:0f4?85f;3;:<6s|3e594?5|5:l96;<4=2g3>4b0349j?7??f:p7gb=839p1>k7:70896b128n<70=l6;33b>{t;ki1<7:t=2g4>34<5:n>6<j8;<1`1?77n278o;4>119~w6de290>w0=j6;41?85c<3;o;63<c48255=:;j91==h4=2a4>46a3ty8nl4?:6y>7`3=>;16?i<51e5896e228;:70=l3;324>;4k>0:==523b3955`<5:n86<??;|q0f<<72>q6?h:5639>7a6=9m=01>m::031?85d;3;:=63<c78254=:;j;1=<>4=2f2>46a3ty8n54?:9y>7`5=>;16?nk51e5896e228;870=l3;326>;4k?0:=?523b39547<5:n:6<?>;<1`b?77n2wx?o950;5x96c52?801>ml:0f4?85em3;;j63<c08251=:;m;1=<:4=2ag>46a349hj7?>3:p7g0=832p1>k>:70896ef28n<70=me;324>;4k80:=>523e39545<5:io6<?=;<1`f?77n278ok4>139~w6d22902w0=j0;41?85d03;o;63<bd8254=:;j;1=<<4=2a:>477349o=7?>2:?0ga<69816?nl5103896ea28;:7p}<c783>7}:;m<1:?523b495f`<uz9h87>52z?0`0<1:278o84>cg9~w6e52909w0=k4;41?85d;3;hj6s|3c194?1|5:n96874=2f3>0?<5:in6874=2a`>0?<5:ij6874=2a;>0?<5:h?6<mi;|q0`6<72;q6?i<5639>7a5=9jl0q~=k1;296~;4l90=>63<d082gc=z{:im6=4={<1`a?05349hj7?lf:p7fb=838p1>ml:70896ec28im7p}<cc83>7}:;jk1:?523b`95f`<uz9h57>52z?0g=<1:278o44>cg9~w7g62903w0<84;41?84ej3;o;63=a98255=::hk1=<>4=3ca>475348jh7?>3:?1ec<69=16>l=51028yv41j3:1?v3=7582`2=::jo1==h4=3g2>46a3ty9m:4?:2y>625=>;16>l651bd897d628;?7p}=6`83>6}::>91=i94=3a`>477348oj7?>0:p6d?=838p1?9=:70897gf28im7p}=6883>6}::>81=i94=3a`>46a348oj7??f:p6de=839p1?9>:70897ge28im70<n5;320>{t:?21<7=t=352>4b0348hm7?>0:?1`a<6991v?oj:18184083<970<nd;3`b>{t:?=1<7=t=353>4b0348hm7??f:?1`a<68o1v?l?:181841n3<970<nf;3`b>{t:?<1<7=t=34e>4b0348h47?>0:?1`g<6991v?l=:181841m3<970<m1;3`b>{t:??1<7=t=34f>4b0348h47??f:?1`g<68o1v?o;:181841l3<970<n2;3`b>{t:?>1<7=t=34g>4b0348h:7?>0:?1`<<6991v?o9:181841k3<970<n5;3`b>{t:?91<7=t=34`>4b0348h:7??f:?1`<<68o1v?:7:18;846j3<970<92;3g3>;5<h0:==5225a9546<5;>o6<?=;<07b?76;2799<4>159>600=98:0q~<>2;290~;59k0:h:5238:955`<5:ki6<??;<101?7682wx>9750;1x977f2?801?:n:0ae?842;3;:86s|20394?2|5;;j6<j8;<1:2?77n278m44>139>762=98:0q~<;b;296~;5900=>63=4b82gc=z{;;;6=4;{<02=?7c?2785;4>109>7d?=98;01>=<:033?xu5<l0;6>u220:927=::=n1=nh4=37;>4733ty9<k4?:5y>64>=9m=01>7;:02e?85f?3;:>63<338255=z{;?;6=4={<023?05348?j7?lf:p65c=83>p1??8:0f4?85><3;:=63<a68254=:;:;1=<>4}r066?6=:r79=;492:?114<6ko1v?>k:187846>3;o;63<93824c=:;h?1=<<4=213>4773ty9994?:3y>643=>;16>8=51bd8yv47k3:18v3=1482`2=:;081=<?4=2c6>4763499j7?>0:p601=838p1??;:708973228im7p}=0c83>1}::8>1=i94=2;3>46a349j?7?>2:?06`<6991v?;6:181846;3<970<:8;3`b>{t:9k1<7:t=330>4b03492<7?>1:?0e6<69816??j51028yv4?n3:1>v3=bc856>;5110:h:5rs3:f>5<5s48im78=;<0:3?7c?2wx>l>50;5x97df28n<70<n8;33b>;5ih0:<k522``9547<5;ko6<?=;<0bb?76;279m>4>109~w7>c2909w0<m9;41?84>>3;o;6s|28d94?1|5;h26<j8;<0be?769279mo4>119>6db=98;01?oi:031?84e93;:>63=a38256=z{;2h6=4={<0a<?05348297?k7:p6<c=83<p1?l7:0f4?84fj3;;j63=ae8255=::hl1=<?4=3`2>474348j?7??f:p6=d=838p1?l8:70897?328n<7p}=9e83>3}::k=1=i94=3cg>46a348jj7?>0:?1e0<69816>o?5102897g528;:7p}=8`83>7}::k<1:?5228195a1<uz82o7>55z?1f3<6l>16>lh511d897g228;970<m1;325>;5i;0:=?5rs3::>5<5s48i978=;<0:6?7c?2wx>4l50;6x97d228n<70<n5;33b>;5j80:<k522`0955`<uz8347>52z?1f1<1:2795<4>d69~w7?f2908w0<m4;3g3>;5i<0:==522`09546<uz83;7>52z?1f6<1:2795=4>d69~w7?>2909w0<m3;3g3>;5i<0:=>5rs315>5<5s48=>78=;<00b?7c?2wx>>;50;0x97062?801?=j:0f4?xu5<>0;6:u227395a1<5;>j6<>i;<07g?77n2798i4>109>61`=98801?;>:030?842>3;:=6s|22694?4|5;<;6;<4=31g>4b03ty98;4?:6y>636=9m=01?:l:032?843l3;:<63=4g8254=::<;1=<<4=370>475348>97?>3:p665=838p1?;i:708975d28n<7p}=4483>3}::<l1=i94=36g>46a348?j7?>0:?114<69816>8=51018973128:m7p}=3383>7}::<o1:?5222`95a1<uz8?87>56z?11`<6l>16>9h511d8973628;;70<:8;325>;5=:0:==522479547<uz88=7>52z?11a<1:279?l4>d69~w724290>w0<:d;3g3>;5=80:<k5224:9544<5;?86<?>;<061?76:2wx>>>50;0x973d2?801?=6:0f4?xu5<;0;69u224a95a1<5;?36<>i;<067?77n279984>0g9~w74a2909w0<:b;41?84403;o;6s|25394?5|5;?i6<j8;<06<?768279984>119~w74b2909w0<:a;41?844?3;o;6s|25294?4|5;?j6<j8;<06<?76;2wx>:j50;0x97??2?801?69:0f4?xu5?j0;6?u2285927=::1?1=i94}r04f?6=:r795;492:?1<1<6l>1v?9n:18184>=3<970<73;3g3>{t:>31<7<t=3;7>34<5;296<j8;|q13=<72;q6>4=5639>6=7=9m=0q~<87;296~;51;0=>63=8182`2=z{;==6=4={<0:5?05348<j7?k7:p623=838p1?7?:708971b28n<7p}=2583>7}:::l1:?5223f95a1<uz89?7>52z?17`<1:279>n4>d69~w7452909w0<<d;41?845j3;o;6s|23394?4|5;9h6;<4=30b>4b03ty9>=4?:3y>66d=>;16>?751e58yv46n3:1>v3=3`856>;5:10:h:5rs33f>5<5s488578=;<013?7c?2wx><j50;0x975?2?801?<9:0f4?xu59j0;6?u2225927=::;?1=i94}r035?6=:r79>n492:?2b4<6ko1v?>?:181845j3<970?i0;3`b>{t9ol1<7<t=30b>34<58om6<mi;|q2b`<72;q6>?75639>5`c=9jl0q~?id;296~;5:10=>63>ee82gc=z{8lh6=4={<013?0534;no7?lf:p5cd=838p1?<9:70894ce28im7p}>f`83>7}::;?1:?521dc95f`<uz8;57>52z?1<0<1:27:j44>cg9~w76?2909w0<74;41?87a03;hj6s|21594?4|5;286;<4=0d4>4ea3ty9<;4?:3y>6=4=>;16=k851bd8yv47=3:1>v3=80856>;6n<0:ok5rs327>5<5s483<78=;<3e0?7dn2wx>==50;0x971a2?801<h<:0ae?xu58;0;6?u226g927=:9o81=nh4}r63a?6=:r7?<k4>cg9>05b=9980q~<ie;290~;5nj0:ok523149542<5::?6<?<;<133?76<2wx?oh50;6x96db28im70=l5;320>;4k:0:=>523b49542<uz;2o7>52z?04=<6ko16?=951018yv7e93:1>v3<c682gc=:;j<1=<=4}r3;6?6=:r799;4>cg9>603=98>0q~?67;296~;5i:0:ok522`09542<uz>947>52z?76=<6ko168?;5639~w6>32909w0=73;41?85?<3;hj6s|3b294?4|5:i:6<mi;<1g7?7692wv8;>:181[32927>:7;:1:&76g<6j>1v8;7:181[32027>:7;:8:&76g<6j11v8:;:181[33<27>:7;;4:&76g<6k;1v97j:181[20m27>:7:8e:&76g<6?>1v97l:181[20l27>:7:8d:&76g<60;1v97n:181[20j27>:7:8b:&76g<60m1v976:181[20i27>:7:8a:&76g<61=1v977:181[20127>:7:89:&76g<61?1v978:181[20027>:7:88:&76g<61>1v979:181[20?27>:7:87:&76g<6101v97::181[20>27>:7:86:&76g<61h1v97;:181[20=27>:7:85:&76g<61k1v97<:181[20<27>:7:84:&76g<61m1v97=:181[20;27>:7:83:&76g<61l1v97>:181[20:27>:7:82:&76g<61o1v96i:181[20827>:7:80:&76g<6i91v96j:181[21n27>:7:9f:&76g<6i81v96k:181[21m27>:7:9e:&76g<6i;1v96l:181[21l27>:7:9d:&76g<6i:1v96m:181[21k27>:7:9c:&76g<6i=1v96n:181[21j27>:7:9b:&76g<6i<1v966:181[21i27>:7:9a:&76g<6i?1v967:181[21127>:7:99:&76g<6i>1v968:181[21027>:7:98:&76g<6i11v969:181[21?27>:7:97:&76g<6i01v9o::181[2?<27>:7:74:&76g<6ih1v9o;:181[2?;27>:7:73:&76g<6ik1v9o<:181[2?:27>:7:72:&76g<6ij1v9o=:181[2?927>:7:71:&76g<6im1v9o>:181[2?827>:7:70:&76g<6il1v9o?:181[20n27>:7:8f:&76g<6io1v97i:181[20k27>:7:8c:&76g<6j91v97m:181[20927>:7:81:&76g<6j;1v97?:181[21>27>:7:96:&76g<6j:1v96::181[21=27>:7:95:&76g<6j=1v88<:181[31;27>:7;93:&76g<6j<1v8:i:181[33n27>:7;;f:&76g<6j?1v8=?:181[35>27>:7;=6:&76g<6j01v8<j:181[35=27>:7;=5:&76g<6jh1v8<k:181[35<27>:7;=4:&76g<6jk1v8<l:181[35;27>:7;=3:&76g<6jj1v8<m:181[35:27>:7;=2:&76g<6jm1v8<n:181[35927>:7;=1:&76g<6jl1v8<6:181[35827>:7;=0:&76g<6jo1v8<7:181[36n27>:7;>f:&76g<6k91v8<8:181[36m27>:7;>e:&76g<6k81v8:<:181[34127>:7;<9:&76g<6k:1v8:>:181[34027>:7;<8:&76g<6k=1v8:?:181[34?27>:7;<7:&76g<6k<1v8=i:181[34>27>:7;<6:&76g<6k?1v8=j:181[34=27>:7;<5:&76g<6k>1v8=k:181[34<27>:7;<4:&76g<6k11v8=l:181[34;27>:7;<3:&76g<6k01v8=m:181[34:27>:7;<2:&76g<6kh1v8=n:181[34927>:7;<1:&76g<6kk1v9ji:181[2en27>:7:mf:&76g<6>o1v9jk:181[2em27>:7:me:&76g<6?91v9jm:181[2ek27>:7:mc:&76g<6?81v9jn:181[2ej27>:7:mb:&76g<6?;1v9j6:181[2ei27>:7:ma:&76g<6?:1v9j7:181[2e127>:7:m9:&76g<6?=1v9j8:181[2e027>:7:m8:&76g<6?<1v9j9:181[2e?27>:7:m7:&76g<6??1v9j::181[2e>27>:7:m6:&76g<6?11v9j;:181[2e=27>:7:m5:&76g<6?01v9j<:181[2e<27>:7:m4:&76g<6?h1v9j=:181[2e;27>:7:m3:&76g<6?k1v9j?:181[2e927>:7:m1:&76g<6?j1v9mi:181[2e827>:7:m0:&76g<6?m1v9mj:181[2fn27>:7:nf:&76g<6?l1v9mk:181[2fm27>:7:ne:&76g<6?o1v9ml:181[2fl27>:7:nd:&76g<6091v9mm:181[2fk27>:7:nc:&76g<6081v9mn:181[2fj27>:7:nb:&76g<60:1v9m6:181[2fi27>:7:na:&76g<60=1v9m7:181[2f127>:7:n9:&76g<60<1v9m8:181[2f027>:7:n8:&76g<60?1v9k9:181[2d=27>:7:l5:&76g<60>1v9k::181[2d<27>:7:l4:&76g<6011v9k;:181[2d;27>:7:l3:&76g<6001v9k<:181[2d:27>:7:l2:&76g<60h1v9k=:181[2d927>:7:l1:&76g<60k1v9k>:181[2d827>:7:l0:&76g<60j1v9k?:181[2el27>:7:md:&76g<60l1v9jl:181[2e:27>:7:m2:&76g<60o1v9j>:181[2f?27>:7:n7:&76g<6191v9m9:181[2f>27>:7:n6:&76g<6181v9kj:181[2bm27>:7:je:&76g<61;1v9k7:181[2b027>:7:j8:&76g<61:1v8;9:181[32>27>:7;:6:&76g<61<1v8?<:181[36;27>:7;>3:&76g<6111vqco>7;296~N3:j1vbl?7:181M25k2wem<750;0xL14d3tdj=l4?:3yK07e<ugk:n7>52zJ76f=zfh;h6=4={I61g>{ii8n1<7<tH50`?xhf9l0;6?uG43a8ykg6n3:1>vF;2b9~jd472909wE:=c:me77=838pD9<l;|lb67<72;qC8?m4}oc17?6=:rB?>n5rn`07>5<5sA>9o6saa3794?4|@=8h7p`n2783>7}O<;i0qco=7;296~N3:j1vbl<7:181M25k2wem?750;0xL14d3tdj>l4?:3yK07e<ugk9n7>52zJ76f=zfh8h6=4={I61g>{ii;n1<7<tH50`?xhf:l0;6?uG43a8ykg5n3:1>vF;2b9~jd572909wE:=c:me67=838pD9<l;|lb77<72;qC8?m4}oc07?6=:rB?>n5rn`17>5<5sA>9o6saa2794?4|@=8h7p`n3783>7}O<;i0qco<7;296~N3:j1vbl=7:181M25k2wem>750;0xL14d3tdj?l4?:3yK07e<ugk8n7>52zJ76f=zfh9h6=4={I61g>{ii:n1<7<tH50`?xhf;l0;6?uG43a8ykg4n3:1>vF;2b9~jd272909wE:=c:me17=838pD9<l;|lb07<72;qC8?m4}oc77?6=:rB?>n5rn`67>5<5sA>9o6saa5794?4|@=8h7p`n4783>7}O<;i0qco;7;296~N3:j1vbl:7:181M25k2wem9750;0xL14d3tdj8l4?:3yK07e<ugk?n7>52zJ76f=zfh>h6=4={I61g>{ii=n1<7<tH50`?xhf<l0;6?uG43a8ykg3n3:1>vF;2b9~jd372909wE:=c:me07=838pD9<l;|lb17<72;qC8?m4}oc67?6=:rB?>n5rn`77>5<5sA>9o6saa4794?4|@=8h7p`n5783>7}O<;i0qco:7;296~N3:j1vbl;7:181M25k2wem8750;0xL14d3tdj9l4?:3yK07e<ugk>n7>52zJ76f=zfh?h6=4={I61g>{ii<n1<7<tH50`?xhf=l0;6?uG43a8ykg2n3:1>vF;2b9~jd072909wE:=c:me37=838pD9<l;|lb27<72;qC8?m4}oc57?6=:rB?>n5rn`47>5<5sA>9o6saa7794?4|@=8h7p`n6783>7}O<;i0qco97;296~N3:j1vbl87:181M25k2wem;750;0xL14d3tdj:l4?:3yK07e<ugk=n7>52zJ76f=zfh<h6=4={I61g>{ii?n1<7<tH50`?xhf>l0;6?uG43a8ykg1n3:1>vF;2b9~jd172909wE:=c:me27=838pD9<l;|l;f6<728qC8?m4}o:g<?6=9rB?>n5rn9f:>5<6sA>9o6sa8ec94?7|@=8h7p`7dc83>4}O<;i0qc6kc;295~N3:j1vb5jk:182M25k2we4ik50;3xL14d3td3hk4?:0yK07e<ug2n<7>51zJ76f=zf1o:6=4>{I61g>{i0l81<7?tH50`?xh?m:0;6<uG43a8yk>b<3:1=vF;2b9~j=c2290:wE:=c:m<`0=83;pD9<l;|l;a2<728qC8?m4}o:f<?6=9rB?>n5rn9g:>5<6sA>9o6sa8dc94?7|@=8h7p`7ec83>4}O<;i0qc6jc;295~N3:j1vb5kk:182M25k2we4hk50;3xL14d3td3ik4?:0yK07e<ug2m<7>51zJ76f=zf1l:6=4>{I61g>{i0o81<7?tH50`?xh?n:0;6<uG43a8yk>a<3:1=vF;2b9~j=`2290:wE:=c:m<c0=83;pD9<l;|l;b2<728qC8?m4}o:e<?6=9rB?>n5rn9d:>5<6sA>9o6sa8gc94?7|@=8h7p`7fc83>4}O<;i0qc6ic;295~N3:j1vb5hk:182M25k2we4kk50;3xL14d3td3jk4?:0yK07e<ug3;<7>51zJ76f=zf0::6=4>{I61g>{i1981<7?tH50`?xh>8:0;6<uG43a8yk?7<3:1=vF;2b9~j<62290:wE:=c:m=50=83;pD9<l;|l:42<728qC8?m4}o;3<?6=9rB?>n5rn82:>5<6sA>9o6sa91c94?7|@=8h7p`60c83>4}O<;i0qc7?c;295~N3:j1vb4>k:182M25k2we5=k50;3xL14d3td2<k4?:0yK07e<ug3:<7>51zJ76f=zf0;:6=4>{I61g>{i1881<7?tH50`?xh>9:0;6<uG43a8yk?6<3:1=vF;2b9~j<72290:wE:=c:m=40=83;pD9<l;|l:52<728qC8?m4}o;2<?6=9rB?>n5rn83:>5<6sA>9o6sa90c94?7|@=8h7p`61c83>4}O<;i0qc7>c;295~N3:j1vb4?k:182M25k2we5<k50;3xL14d3td2=k4?:0yK07e<ug39<7>51zJ76f=zf08:6=4>{I61g>{i1;81<7?tH50`?xh>::0;6<uG43a8yk?5<3:1=vF;2b9~j<42290:wE:=c:m=70=83;pD9<l;|l:62<728qC8?m4}o;1<?6=9rB?>n5rn80:>5<6sA>9o6sa93c94?7|@=8h7p`62c83>4}O<;i0qc7=c;295~N3:j1vb4<k:182M25k2we5?k50;3xL14d3td2>k4?:0yK07e<ug38<7>51zJ76f=zf09:6=4>{I61g>{i1:81<7?tH50`?xh>;:0;6<uG43a8yk?4<3:1=vF;2b9~j<52290:wE:=c:m=60=83;pD9<l;|l:72<728qC8?m4}o;0<?6=9rB?>n5rn81:>5<6sA>9o6sa92c94?7|@=8h7p`63c83>4}O<;i0qc7<c;295~N3:j1vb4=k:182M25k2we5>k50;3xL14d3td2?k4?:0yK07e<ug3?<7>51zJ76f=zf0>:6=4>{I61g>{i1=81<7?tH50`?xh><:0;6<uG43a8yk?3<3:1=vF;2b9~j<22290:wE:=c:m=10=83;pD9<l;|l:02<728qC8?m4}o;7<?6=9rB?>n5rn86:>5<6sA>9o6sa95c94?7|@=8h7p`64c83>4}O<;i0qc7;c;295~N3:j1vb4:k:182M25k2we59k50;3xL14d3td28k4?:0yK07e<ug3><7>51zJ76f=zf0?:6=4>{I61g>{i1<81<7?tH50`?xh>=:0;6<uG43a8yk?2<3:1=vF;2b9~j<32290:wE:=c:m=00=83;pD9<l;|l:12<728qC8?m4}o;6<?6=9rB?>n5rn87:>5<6sA>9o6sa94c94?7|@=8h7p`65c83>4}O<;i0qc7:c;295~N3:j1vb4;k:182M25k2we58k50;3xL14d3td29k4?:0yK07e<ug3=<7>51zJ76f=zf0<:6=4>{I61g>{i1?81<7?tH50`?xh>>:0;6<uG43a8yk?1<3:1=vF;2b9~j<02290:wE:=c:m=30=83;pD9<l;|l:22<728qC8?m4}o;5<?6=9rB?>n5rn84:>5<6sA>9o6sa97c94?7|@=8h7p`66c83>4}O<;i0qc79c;295~N3:j1vb48k:182M25k2we5;k50;3xL14d3td2:k4?:0yK07e<ug3<<7>51zJ76f=zf0=:6=4>{I61g>{i1>81<7?tH50`?xh>?:0;6<uG43a8yk?0<3:1=vF;2b9~j<12290:wE:=c:m=20=83;pD9<l;|l:32<728qC8?m4}o;4<?6=9rB?>n5rn85:>5<6sA>9o6sa96c94?7|@=8h7p`67c83>4}O<;i0qc78c;295~N3:j1vb49k:182M25k2we5:k50;3xL14d3td2;k4?:0yK07e<ug33<7>51zJ76f=zf02:6=4>{I61g>{i1181<7?tH50`?xh>0:0;6<uG43a8yk??<3:1=vF;2b9~j<>2290:wE:=c:m==0=83;pD9<l;|l:<2<728qC8?m4}o;;<?6=9rB?>n5rn8::>5<6sA>9o6sa99c94?7|@=8h7p`68c83>4}O<;i0qc77c;295~N3:j1vb46k:182M25k2we55k50;3xL14d3td24k4?:0yK07e<ug32<7>51zJ76f=zf03:6=4>{I61g>{i1081<7?tH50`?xh>1:0;6<uG43a8yk?><3:1=vF;2b9~j<?2290:wE:=c:m=<0=83;pD9<l;|l:=2<728qC8?m4}o;:<?6=9rB?>n5rn8;:>5<6sA>9o6sa98c94?7|@=8h7p`69c83>4}O<;i0qc76c;295~N3:j1vb47k:182M25k2we54k50;3xL14d3td25k4?:0yK07e<ug3j<7>51zJ76f=zf0k:6=4>{I61g>{i1h81<7?tH50`?xh>i:0;6<uG43a8yk?f<3:1=vF;2b9~j<g2290:wE:=c:m=d0=83;pD9<l;|l:e2<728qC8?m4}o;b<?6=9rB?>n5rn8c:>5<6sA>9o6sa9`c94?7|@=8h7p`6ac83>4}O<;i0qc7nc;295~N3:j1vb4ok:182M25k2we5lk50;3xL14d3td2mk4?:0yK07e<ug3i<7>51zJ76f=zf0h:6=4>{I61g>{i1k81<7?tH50`?xh>j:0;6<uG43a8yk?e<3:1=vF;2b9~j<d2290:wE:=c:m=g0=83;pD9<l;|l:f2<728qC8?m4}o;a<?6=9rB?>n5rn8`:>5<6sA>9o6sa9cc94?7|@=8h7p`6bc83>4}O<;i0qc7mc;295~N3:j1vb4lk:182M25k2we5ok50;3xL14d3td2nk4?:0yK07e<ug3h<7>51zJ76f=zf0i:6=4>{I61g>{i1j81<7?tH50`?xh>k:0;6<uG43a8yk?d<3:1=vF;2b9~j<e2290:wE:=c:m=f0=83;pD9<l;|l:g2<728qC8?m4}o;`<?6=9rB?>n5rn8a:>5<6sA>9o6sa9bc94?7|@=8h7p`6cc83>4}O<;i0qc7lc;295~N3:j1vb4mk:182M25k2we5nk50;3xL14d3td2ok4?:0yK07e<ug3o<7>51zJ76f=zf0n:6=4>{I61g>{i1m81<7?tH50`?xh>l:0;6<uG43a8yk?c<3:1=vF;2b9~j<b2290:wE:=c:m=a0=83;pD9<l;|l:`2<728qC8?m4}o;g<?6=9rB?>n5rn8f:>5<6sA>9o6sa9ec94?7|@=8h7p`6dc83>4}O<;i0qc7kc;295~N3:j1vb4jk:182M25k2we5ik50;3xL14d3td2hk4?:0yK07e<ug3n<7>51zJ76f=zf0o:6=4>{I61g>{i1l81<7?tH50`?xh>m:0;6<uG43a8yk?b<3:1=vF;2b9~j<c2290:wE:=c:m=`0=83;pD9<l;|l:a2<728qC8?m4}o;f<?6=9rB?>n5rn8g:>5<6sA>9o6sa9dc94?7|@=8h7p`6ec83>4}O<;i0qc7jc;295~N3:j1vb4kk:182M25k2we5hk50;3xL14d3td2ik4?:0yK07e<ug3m<7>51zJ76f=zf0l:6=4>{I61g>{i1o81<7?tH50`?xh>n:0;6<uG43a8yk?a<3:1=vF;2b9~j<`2290:wE:=c:m=c0=83;pD9<l;|l:b2<728qC8?m4}o;e<?6=9rB?>n5rn8d:>5<6sA>9o6sa9gc94?7|@=8h7p`6fc83>4}O<;i0qc7ic;295~N3:j1vb4hk:182M25k2we5kk50;3xL14d3td2jk4?:0yK07e<ugk;<7>51zJ76f=zfh::6=4>{I61g>{ii981<7?tH50`?xhf8:0;6<uG43a8ykg7<3:1=vF;2b9~jd62290:wE:=c:me50=83;pD9<l;|lb42<728qC8?m4}oc3<?6=9rB?>n5rn`2:>5<6sA>9o6saa1c94?7|@=8h7p`n0c83>4}O<;i0qco?c;295~N3:j1vbl>k:182M25k2wem=k50;3xL14d3tdj<k4?:0yK07e<ugk:<7>51zJ76f=zfh;:6=4>{I61g>{ii881<7?tH50`?xhf9:0;6<uG43a8ykg6<3:1=vF;2b9~jd72290:wE:=c:me40=83;pD9<l;|~yEFDsj:o6nl;bg::7xFGJr:vLM^t}AB
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syn_tdc.edf 1310751057
/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syn_tdc.edf 1310975041
OK
This source diff could not be displayed because it is too large. You can view the blob instead.
Release 13.1 - par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:01 2011
Mon Jul 18 09:44:59 2011
# NOTE: This file is designed to be imported into a spreadsheet program
......@@ -190,7 +190,7 @@ F14||IOBM|IO_L36P_GCLK15_0|UNUSED||0|||||||||
F15||IOBS|IO_L36N_GCLK14_0|UNUSED||0|||||||||
F16||IOBS|IO_L37N_GCLK12_0|UNUSED||0|||||||||
F17||IOBS|IO_L51N_0|UNUSED||0|||||||||
F18|spec_aux4_o|IOB|IO_L1P_A25_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|NO|NONE|
F18|spec_aux4_o|IOB|IO_L1P_A25_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|YES|NONE|
F19||IOBS|IO_L1N_A24_VREF_1|UNUSED||1|||||||||
F20|spec_aux3_o|IOB|IO_L29N_A22_M1A14_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|NO|NONE|
F21|p2l_data_i(14)|IOB|IO_L31P_A19_M1CKE_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|NO|NONE|
......@@ -213,7 +213,7 @@ G15||IOBS|IO_L49N_0|UNUSED||0|||||||||
G16||IOBM|IO_L51P_0|UNUSED||0|||||||||
G17|||TDO||||||||||||
G18|||GND||||||||||||
G19|spec_aux2_o|IOB|IO_L29P_A23_M1A13_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|YES|NONE|
G19|spec_aux2_o|IOB|IO_L29P_A23_M1A13_1|OUTPUT|LVCMOS18|1|12|||||LOCATED|NO|NONE|
G20|p2l_data_i(6)|IOB|IO_L35P_A11_M1A7_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|NO|NONE|
G21|||VCCO_1|||1|||||1.80||||
G22|p2l_data_i(5)|IOB|IO_L35N_A10_M1A2_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|NO|NONE|
......
Release 13.1 par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
lxplus427.cern.ch:: Fri Jul 15 19:31:40 2011
lxplus427.cern.ch:: Mon Jul 18 09:44:37 2011
par -ol high syn_tdc.ncd par_tdc
......@@ -27,11 +27,11 @@ Slice Logic Utilization:
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 176 out of 27,288 1%
Number using O6 output only: 108
Number using O5 output only: 30
Number using O5 and O6: 38
Number of Slice LUTs: 193 out of 27,288 1%
Number used as logic: 192 out of 27,288 1%
Number using O6 output only: 121
Number using O5 output only: 31
Number using O5 and O6: 40
Number used as ROM: 0
Number used as Memory: 0 out of 6,408 0%
Number used exclusively as route-thrus: 1
......@@ -40,11 +40,11 @@ Slice Logic Utilization:
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 63 out of 6,822 1%
Number of LUT Flip Flop pairs used: 179
Number with an unused Flip Flop: 93 out of 179 51%
Number with an unused LUT: 2 out of 179 1%
Number of fully used LUT-FF pairs: 84 out of 179 46%
Number of occupied Slices: 80 out of 6,822 1%
Number of LUT Flip Flop pairs used: 195
Number with an unused Flip Flop: 109 out of 195 55%
Number with an unused LUT: 2 out of 195 1%
Number of fully used LUT-FF pairs: 84 out of 195 43%
Number of slice register sites lost
to control set restrictions: 0 out of 54,576 0%
......@@ -166,29 +166,29 @@ WARNING:Par:288 - The signal int_flag_i_IBUF has no load. PAR will not attempt
Starting Router
Phase 1 : 1007 unrouted; REAL time: 7 secs
Phase 1 : 1136 unrouted; REAL time: 7 secs
Phase 2 : 716 unrouted; REAL time: 9 secs
Phase 2 : 827 unrouted; REAL time: 9 secs
Phase 3 : 149 unrouted; REAL time: 10 secs
Phase 3 : 180 unrouted; REAL time: 10 secs
Phase 4 : 150 unrouted; (Setup:19402, Hold:0, Component Switching Limit:0) REAL time: 12 secs
Phase 4 : 181 unrouted; (Setup:24717, Hold:0, Component Switching Limit:0) REAL time: 12 secs
Updating file: par_tdc.ncd with current fully routed design.
Phase 5 : 0 unrouted; (Setup:20177, Hold:0, Component Switching Limit:0) REAL time: 13 secs
Phase 5 : 0 unrouted; (Setup:27847, Hold:0, Component Switching Limit:0) REAL time: 12 secs
Phase 6 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 17 secs
Phase 6 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 17 secs
Updating file: par_tdc.ncd with current fully routed design.
Phase 7 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 7 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 8 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 8 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 9 : 0 unrouted; (Setup:20151, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 9 : 0 unrouted; (Setup:27646, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 10 : 0 unrouted; (Setup:17366, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Phase 10 : 0 unrouted; (Setup:19904, Hold:0, Component Switching Limit:0) REAL time: 20 secs
Total REAL time to Router completion: 20 secs
Total CPU time to Router completion: 20 secs
......@@ -208,9 +208,9 @@ Generating Clock Report
+---------------------+--------------+------+------+------------+-------------+
| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
+---------------------+--------------+------+------+------------+-------------+
| spec_clk | BUFGMUX_X2Y3| No | 26 | 0.659 | 2.387 |
| spec_clk | BUFGMUX_X2Y3| No | 42 | 0.669 | 2.387 |
+---------------------+--------------+------+------+------------+-------------+
| clk | BUFGMUX_X3Y7| No | 13 | 0.667 | 2.382 |
| clk | BUFGMUX_X3Y7| No | 13 | 0.659 | 2.382 |
+---------------------+--------------+------+------+------------+-------------+
* Net Skew is the difference between the minimum and maximum routing
......@@ -218,7 +218,7 @@ only delays for the net. Note this is different from Clock Skew which
is reported in TRCE timing report. Clock Skew is the difference between
the minimum and maximum path delays which includes logic delays.
Timing Score: 17366 (Setup: 17366, Hold: 0, Component Switching Limit: 0)
Timing Score: 19904 (Setup: 19904, Hold: 0, Component Switching Limit: 0)
WARNING:Par:468 - Your design did not meet timing. The following are some suggestions to assist you to meet timing in your design.
......@@ -249,15 +249,15 @@ Asterisk (*) preceding a constraint indicates it was not met.
P "spec_aux0_i" TO TIMEGRP "clk" 6 | HOLD | 6.080ns| | 0| 0
ns | | | | |
----------------------------------------------------------------------------------------------------------
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.369ns| 7.369ns| 8| 11437
i" 5 ns HIGH 50% | HOLD | 0.437ns| | 0| 0
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.332ns| 7.332ns| 17| 13975
i" 5 ns HIGH 50% | HOLD | 0.429ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_tdc_led_status_o_clk = MAXDELAY FROM T | MAXDELAY | 2.191ns| 3.809ns| 0| 0
IMEGRP "clk" TO TIMEGRP "tdc_led_ | | | | |
status_o" 6 ns | | | | |
----------------------------------------------------------------------------------------------------------
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.272ns| 4.728ns| 0| 0
0% | HOLD | 0.497ns| | 0| 0
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.102ns| 4.898ns| 0| 0
0% | HOLD | 0.463ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_spec_led_green_o_spec_clk = MAXDELAY F | MAXDELAY | 44.140ns| 3.860ns| 0| 0
ROM TIMEGRP "spec_clk" TO TIMEGRP | | | | |
......@@ -277,14 +277,14 @@ All signals are completely routed.
WARNING:Par:283 - There are 68 loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
Total REAL time to PAR completion: 22 secs
Total REAL time to PAR completion: 21 secs
Total CPU time to PAR completion: 21 secs
Peak Memory Usage: 504 MB
Peak Memory Usage: 511 MB
Placer: Placement generated during map.
Routing: Completed - No errors found.
Timing: Completed - 9 errors found.
Timing: Completed - 18 errors found.
Number of error messages: 0
Number of warning messages: 71
......
......@@ -329,4 +329,4 @@
<!ELEMENT twName (#PCDATA)>
<!ELEMENT twValue (#PCDATA)>
]>
<twReport><twBody><twSumRpt><twConstSummaryTable twEmptyConstraints = "1" ><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_aux0_i_clk = MAXDELAY FROM TIMEGRP &quot;spec_aux0_i&quot; TO TIMEGRP &quot;clk&quot; 6 ns</twConstName><twConstData type="SETUP" slack="-5.929" best="11.929" units="ns" errors="1" score="5929"/><twConstData type="HOLD" slack="6.080" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk_i = PERIOD TIMEGRP &quot;spec_clk_i&quot; 5 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="-2.369" best="7.369" units="ns" errors="8" score="11437"/><twConstData type="HOLD" slack="0.437" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_tdc_led_status_o_clk = MAXDELAY FROM TIMEGRP &quot;clk&quot; TO TIMEGRP &quot;tdc_led_status_o&quot; 6 ns</twConstName><twConstData type="MAXDELAY" slack="2.191" best="3.809" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_clk = PERIOD TIMEGRP &quot;clk&quot; 8 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="3.272" best="4.728" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.497" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_led_green_o_spec_clk = MAXDELAY FROM TIMEGRP &quot;spec_clk&quot; TO TIMEGRP &quot;spec_led_green_o&quot; 48 ns</twConstName><twConstData type="MAXDELAY" slack="44.140" best="3.860" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk = PERIOD TIMEGRP &quot;spec_clk&quot; 50 ns HIGH 50%</twConstName><twConstData type="MINPERIOD" slack="46.430" best="3.570" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="3">2</twUnmetConstCnt></twSumRpt></twBody></twReport>
<twReport><twBody><twSumRpt><twConstSummaryTable twEmptyConstraints = "1" ><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_aux0_i_clk = MAXDELAY FROM TIMEGRP &quot;spec_aux0_i&quot; TO TIMEGRP &quot;clk&quot; 6 ns</twConstName><twConstData type="SETUP" slack="-5.929" best="11.929" units="ns" errors="1" score="5929"/><twConstData type="HOLD" slack="6.080" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk_i = PERIOD TIMEGRP &quot;spec_clk_i&quot; 5 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="-2.332" best="7.332" units="ns" errors="17" score="13975"/><twConstData type="HOLD" slack="0.429" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_tdc_led_status_o_clk = MAXDELAY FROM TIMEGRP &quot;clk&quot; TO TIMEGRP &quot;tdc_led_status_o&quot; 6 ns</twConstName><twConstData type="MAXDELAY" slack="2.191" best="3.809" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_clk = PERIOD TIMEGRP &quot;clk&quot; 8 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="3.102" best="4.898" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.463" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_led_green_o_spec_clk = MAXDELAY FROM TIMEGRP &quot;spec_clk&quot; TO TIMEGRP &quot;spec_led_green_o&quot; 48 ns</twConstName><twConstData type="MAXDELAY" slack="44.140" best="3.860" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk = PERIOD TIMEGRP &quot;spec_clk&quot; 50 ns HIGH 50%</twConstName><twConstData type="MINPERIOD" slack="46.430" best="3.570" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="3">2</twUnmetConstCnt></twSumRpt></twBody></twReport>
......@@ -36,15 +36,15 @@ Asterisk (*) preceding a constraint indicates it was not met.
P "spec_aux0_i" TO TIMEGRP "clk" 6 | HOLD | 6.080ns| | 0| 0
ns | | | | |
----------------------------------------------------------------------------------------------------------
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.369ns| 7.369ns| 8| 11437
i" 5 ns HIGH 50% | HOLD | 0.437ns| | 0| 0
* TS_spec_clk_i = PERIOD TIMEGRP "spec_clk_ | SETUP | -2.332ns| 7.332ns| 17| 13975
i" 5 ns HIGH 50% | HOLD | 0.429ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_tdc_led_status_o_clk = MAXDELAY FROM T | MAXDELAY | 2.191ns| 3.809ns| 0| 0
IMEGRP "clk" TO TIMEGRP "tdc_led_ | | | | |
status_o" 6 ns | | | | |
----------------------------------------------------------------------------------------------------------
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.272ns| 4.728ns| 0| 0
0% | HOLD | 0.497ns| | 0| 0
TS_clk = PERIOD TIMEGRP "clk" 8 ns HIGH 5 | SETUP | 3.102ns| 4.898ns| 0| 0
0% | HOLD | 0.463ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_spec_led_green_o_spec_clk = MAXDELAY F | MAXDELAY | 44.140ns| 3.860ns| 0| 0
ROM TIMEGRP "spec_clk" TO TIMEGRP | | | | |
......@@ -53,14 +53,14 @@ Asterisk (*) preceding a constraint indicates it was not met.
TS_spec_clk = PERIOD TIMEGRP "spec_clk" 5 | MINPERIOD | 46.430ns| 3.570ns| 0| 0
0 ns HIGH 50% | | | | |
----------------------------------------------------------------------------------------------------------
Unconstrained OFFSET IN BEFORE analysis f | SETUP | N/A| 20.944ns| N/A| 0
Unconstrained OFFSET IN BEFORE analysis f | SETUP | N/A| 13.053ns| N/A| 0
or clock "spec_clk" | | | | |
----------------------------------------------------------------------------------------------------------
Unconstrained OFFSET OUT AFTER analysis f | MAXDELAY | N/A| 17.070ns| N/A| 0
Unconstrained OFFSET OUT AFTER analysis f | MAXDELAY | N/A| 19.174ns| N/A| 0
or clock "spec_clk" | | | | |
----------------------------------------------------------------------------------------------------------
Unconstrained path analysis | MAXDELAY | N/A| 17.050ns| N/A| 0
| HOLD | 1.402ns| | 0| 0
| HOLD | 2.135ns| | 0| 0
----------------------------------------------------------------------------------------------------------
......@@ -80,9 +80,9 @@ Setup/Hold to clock spec_clk_i
|Max Setup to| Process |Max Hold to | Process | | Clock |
Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase |
------------+------------+------------+------------+------------+------------------+--------+
rst_n_a_i | 20.944(R)| SLOW | -0.113(R)| SLOW |spec_clk | 0.000|
rst_n_a_i | 13.053(R)| SLOW | -1.570(R)| SLOW |spec_clk | 0.000|
spec_aux0_i | 8.165(R)| SLOW | -4.426(R)| FAST |spec_clk | 0.000|
spec_aux1_i | 18.568(R)| SLOW | -2.071(R)| FAST |spec_clk | 0.000|
spec_aux1_i | 9.761(R)| SLOW | -1.373(R)| SLOW |spec_clk | 0.000|
------------+------------+------------+------------+------------+------------------+--------+
Setup/Hold to clock tdc_clk_n_i
......@@ -106,12 +106,12 @@ Clock spec_clk_i to Pad
|Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock |
Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase |
---------------+-----------------+------------+-----------------+------------+------------------+--------+
pll_cs_o | 14.728(R)| SLOW | 6.361(R)| FAST |spec_clk | 0.000|
pll_cs_o | 14.889(R)| SLOW | 6.586(R)| FAST |spec_clk | 0.000|
pll_sclk_o | 8.380(R)| SLOW | 3.127(R)| FAST |spec_clk | 0.000|
pll_sdi_o | 17.070(R)| SLOW | 6.277(R)| FAST |spec_clk | 0.000|
spec_aux2_o | 8.249(R)| SLOW | 2.997(R)| FAST |spec_clk | 0.000|
spec_aux3_o | 15.826(R)| SLOW | 5.584(R)| FAST |spec_clk | 0.000|
spec_aux4_o | 14.681(R)| SLOW | 6.332(R)| FAST |spec_clk | 0.000|
pll_sdi_o | 19.174(R)| SLOW | 6.499(R)| FAST |spec_clk | 0.000|
spec_aux2_o | 18.339(R)| SLOW | 6.040(R)| FAST |spec_clk | 0.000|
spec_aux3_o | 15.031(R)| SLOW | 6.706(R)| FAST |spec_clk | 0.000|
spec_aux4_o | 8.266(R)| SLOW | 3.014(R)| FAST |spec_clk | 0.000|
spec_led_red_o | 8.412(R)| SLOW | 3.209(R)| FAST |spec_clk | 0.000|
tdc_led_trig1_o| 8.381(R)| SLOW | 3.128(R)| FAST |spec_clk | 0.000|
---------------+-----------------+------------+-----------------+------------+------------------+--------+
......@@ -139,9 +139,9 @@ Clock to Setup on destination clock spec_clk_i
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
spec_clk_i | 7.369| | | |
tdc_clk_n_i | 4.475| | | |
tdc_clk_p_i | 4.475| | | |
spec_clk_i | 7.332| | | |
tdc_clk_n_i | 5.950| | | |
tdc_clk_p_i | 5.950| | | |
---------------+---------+---------+---------+---------+
Clock to Setup on destination clock tdc_clk_n_i
......@@ -149,9 +149,9 @@ Clock to Setup on destination clock tdc_clk_n_i
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
spec_clk_i | 7.224| | | |
tdc_clk_n_i | 4.728| | | |
tdc_clk_p_i | 4.728| | | |
spec_clk_i | 11.383| | | |
tdc_clk_n_i | 4.898| | | |
tdc_clk_p_i | 4.898| | | |
---------------+---------+---------+---------+---------+
Clock to Setup on destination clock tdc_clk_p_i
......@@ -159,9 +159,9 @@ Clock to Setup on destination clock tdc_clk_p_i
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
spec_clk_i | 7.224| | | |
tdc_clk_n_i | 4.728| | | |
tdc_clk_p_i | 4.728| | | |
spec_clk_i | 11.383| | | |
tdc_clk_n_i | 4.898| | | |
tdc_clk_p_i | 4.898| | | |
---------------+---------+---------+---------+---------+
Pad to Pad
......@@ -169,30 +169,30 @@ Pad to Pad
Source Pad |Destination Pad | Delay |
---------------+----------------+---------+
pll_ld_i |spec_led_green_o| 12.366|
rst_n_a_i |spec_aux5_o | 11.006|
rst_n_a_i |spec_aux5_o | 14.919|
spec_aux0_i |tdc_led_trig3_o | 15.691|
spec_aux0_i |tdc_led_trig4_o | 15.691|
spec_aux0_i |tdc_led_trig5_o | 17.050|
spec_aux1_i |spec_aux5_o | 8.917|
spec_aux1_i |spec_aux5_o | 8.387|
---------------+----------------+---------+
Timing summary:
---------------
Timing errors: 9 Score: 17366 (Setup/Max: 17366, Hold: 0)
Timing errors: 18 Score: 19904 (Setup/Max: 19904, Hold: 0)
Constraints cover 5229 paths, 0 nets, and 709 connections
Constraints cover 5298 paths, 0 nets, and 836 connections
Design statistics:
Minimum period: 11.929ns (Maximum frequency: 83.829MHz)
Maximum combinational path delay: 17.050ns
Maximum path delay from/to any node: 11.929ns
Minimum input required time before clock: 20.944ns
Maximum output delay after clock: 17.070ns
Minimum input required time before clock: 13.053ns
Maximum output delay after clock: 19.174ns
Analysis completed Fri Jul 15 19:32:08 2011
Analysis completed Mon Jul 18 09:45:06 2011
--------------------------------------------------------------------------------
Trace Settings:
......
......@@ -332,7 +332,7 @@
<twReport><twHead anchorID="1"><twExecVer>Release 13.1 Trace (lin64)</twExecVer><twCopyright>Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.</twCopyright><twCmdLine>/afs/cern.ch/project/parc/elec/xilinx131/ISE_DS/ISE/bin/lin64/unwrapped/trce -u
par_tdc.ncd syn_tdc.pcf
</twCmdLine><twDesign>par_tdc.ncd</twDesign><twDesignPath>par_tdc.ncd</twDesignPath><twPCF>syn_tdc.pcf</twPCF><twPcfPath>syn_tdc.pcf</twPcfPath><twDevInfo arch="spartan6" pkg="fgg484"><twDevName>xc6slx45t</twDevName><twDevRange>C</twDevRange><twSpeedGrade>-2</twSpeedGrade><twSpeedVer>PRODUCTION 1.17 2011-02-03</twSpeedVer><twQuadDly>1</twQuadDly></twDevInfo><twRptInfo twRptLvl="twSum" dlyHyperLnks="t" ><twItemLimit>0</twItemLimit><twUnconst></twUnconst></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:3386 - Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report.</twInfo><twInfo anchorID="3">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twBody><twSumRpt><twConstSummaryTable twEmptyConstraints = "1" ><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_aux0_i_clk = MAXDELAY FROM TIMEGRP &quot;spec_aux0_i&quot; TO TIMEGRP &quot;clk&quot; 6 ns</twConstName><twConstData type="SETUP" slack="-5.929" best="11.929" units="ns" errors="1" score="5929"/><twConstData type="HOLD" slack="6.080" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk_i = PERIOD TIMEGRP &quot;spec_clk_i&quot; 5 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="-2.369" best="7.369" units="ns" errors="8" score="11437"/><twConstData type="HOLD" slack="0.437" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_tdc_led_status_o_clk = MAXDELAY FROM TIMEGRP &quot;clk&quot; TO TIMEGRP &quot;tdc_led_status_o&quot; 6 ns</twConstName><twConstData type="MAXDELAY" slack="2.191" best="3.809" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_clk = PERIOD TIMEGRP &quot;clk&quot; 8 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="3.272" best="4.728" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.497" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_led_green_o_spec_clk = MAXDELAY FROM TIMEGRP &quot;spec_clk&quot; TO TIMEGRP &quot;spec_led_green_o&quot; 48 ns</twConstName><twConstData type="MAXDELAY" slack="44.140" best="3.860" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk = PERIOD TIMEGRP &quot;spec_clk&quot; 50 ns HIGH 50%</twConstName><twConstData type="MINPERIOD" slack="46.430" best="3.570" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Unconstrained OFFSET IN BEFORE analysis for clock &quot;spec_clk&quot;</twConstName><twConstData type="SETUP" best="20.944" units="ns" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Unconstrained OFFSET OUT AFTER analysis for clock &quot;spec_clk&quot;</twConstName><twConstData type="MAXDELAY" best="17.070" units="ns" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Unconstrained path analysis</twConstName><twConstData type="MAXDELAY" best="17.050" units="ns" score="0"/><twConstData type="HOLD" slack="1.402" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="4">2</twUnmetConstCnt><twInfo anchorID="5">INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</twInfo><twDataSheet anchorID="6" twNameLen="16"><twSUH2ClkList anchorID="7" twDestWidth="11" twPhaseWidth="8"><twDest>spec_clk_i</twDest><twSUH2Clk ><twSrc>rst_n_a_i</twSrc><twSUHTime twInternalClk ="spec_clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">20.944</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="f">-0.113</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>spec_aux0_i</twSrc><twSUHTime twInternalClk ="spec_clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">8.165</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-4.426</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>spec_aux1_i</twSrc><twSUHTime twInternalClk ="spec_clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">18.568</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-2.071</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twSUH2ClkList anchorID="8" twDestWidth="11" twPhaseWidth="3"><twDest>tdc_clk_n_i</twDest><twSUH2Clk ><twSrc>spec_aux0_i</twSrc><twSUHTime twInternalClk ="clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">7.924</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-4.267</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twSUH2ClkList anchorID="9" twDestWidth="11" twPhaseWidth="3"><twDest>tdc_clk_p_i</twDest><twSUH2Clk ><twSrc>spec_aux0_i</twSrc><twSUHTime twInternalClk ="clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">7.925</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-4.267</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twClk2OutList anchorID="10" twDestWidth="15" twPhaseWidth="8"><twSrc>spec_clk_i</twSrc><twClk2Out twOutPad = "pll_cs_o" twMinTime = "6.361" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "14.728" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "pll_sclk_o" twMinTime = "3.127" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.380" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "pll_sdi_o" twMinTime = "6.277" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "17.070" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_aux2_o" twMinTime = "2.997" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.249" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_aux3_o" twMinTime = "5.584" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "15.826" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_aux4_o" twMinTime = "6.332" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "14.681" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_led_red_o" twMinTime = "3.209" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.412" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "tdc_led_trig1_o" twMinTime = "3.128" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.381" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2OutList anchorID="11" twDestWidth="16" twPhaseWidth="3"><twSrc>tdc_clk_n_i</twSrc><twClk2Out twOutPad = "tdc_led_status_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.564" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "tdc_led_trig2_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.564" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2OutList anchorID="12" twDestWidth="16" twPhaseWidth="3"><twSrc>tdc_clk_p_i</twSrc><twClk2Out twOutPad = "tdc_led_status_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.563" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "tdc_led_trig2_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.563" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2SUList anchorID="13" twDestWidth="11"><twDest>spec_clk_i</twDest><twClk2SU><twSrc>spec_clk_i</twSrc><twRiseRise>7.369</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_n_i</twSrc><twRiseRise>4.475</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_p_i</twSrc><twRiseRise>4.475</twRiseRise></twClk2SU></twClk2SUList><twClk2SUList anchorID="14" twDestWidth="11"><twDest>tdc_clk_n_i</twDest><twClk2SU><twSrc>spec_clk_i</twSrc><twRiseRise>7.224</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_n_i</twSrc><twRiseRise>4.728</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_p_i</twSrc><twRiseRise>4.728</twRiseRise></twClk2SU></twClk2SUList><twClk2SUList anchorID="15" twDestWidth="11"><twDest>tdc_clk_p_i</twDest><twClk2SU><twSrc>spec_clk_i</twSrc><twRiseRise>7.224</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_n_i</twSrc><twRiseRise>4.728</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_p_i</twSrc><twRiseRise>4.728</twRiseRise></twClk2SU></twClk2SUList><twPad2PadList anchorID="16" twSrcWidth="11" twDestWidth="16"><twPad2Pad><twSrc>pll_ld_i</twSrc><twDest>spec_led_green_o</twDest><twDel>12.366</twDel></twPad2Pad><twPad2Pad><twSrc>rst_n_a_i</twSrc><twDest>spec_aux5_o</twDest><twDel>11.006</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux0_i</twSrc><twDest>tdc_led_trig3_o</twDest><twDel>15.691</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux0_i</twSrc><twDest>tdc_led_trig4_o</twDest><twDel>15.691</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux0_i</twSrc><twDest>tdc_led_trig5_o</twDest><twDel>17.050</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux1_i</twSrc><twDest>spec_aux5_o</twDest><twDel>8.917</twDel></twPad2Pad></twPad2PadList><twOffsetTables></twOffsetTables></twDataSheet></twSumRpt></twBody><twSum anchorID="17"><twErrCnt>9</twErrCnt><twScore>17366</twScore><twSetupScore>17366</twSetupScore><twHoldScore>0</twHoldScore><twConstCov><twPathCnt>5229</twPathCnt><twNetCnt>0</twNetCnt><twConnCnt>709</twConnCnt></twConstCov><twStats anchorID="18"><twMinPer>11.929</twMinPer><twMaxFreq>83.829</twMaxFreq><twMaxCombDel>17.050</twMaxCombDel><twMaxFromToDel>11.929</twMaxFromToDel><twMinInBeforeClk>20.944</twMinInBeforeClk><twMaxOutBeforeClk>17.070</twMaxOutBeforeClk></twStats></twSum><twFoot><twTimestamp>Fri Jul 15 19:32:08 2011 </twTimestamp></twFoot><twClientInfo anchorID="19"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
</twCmdLine><twDesign>par_tdc.ncd</twDesign><twDesignPath>par_tdc.ncd</twDesignPath><twPCF>syn_tdc.pcf</twPCF><twPcfPath>syn_tdc.pcf</twPcfPath><twDevInfo arch="spartan6" pkg="fgg484"><twDevName>xc6slx45t</twDevName><twDevRange>C</twDevRange><twSpeedGrade>-2</twSpeedGrade><twSpeedVer>PRODUCTION 1.17 2011-02-03</twSpeedVer><twQuadDly>1</twQuadDly></twDevInfo><twRptInfo twRptLvl="twSum" dlyHyperLnks="t" ><twItemLimit>0</twItemLimit><twUnconst></twUnconst></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:3386 - Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report.</twInfo><twInfo anchorID="3">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twBody><twSumRpt><twConstSummaryTable twEmptyConstraints = "1" ><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_aux0_i_clk = MAXDELAY FROM TIMEGRP &quot;spec_aux0_i&quot; TO TIMEGRP &quot;clk&quot; 6 ns</twConstName><twConstData type="SETUP" slack="-5.929" best="11.929" units="ns" errors="1" score="5929"/><twConstData type="HOLD" slack="6.080" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk_i = PERIOD TIMEGRP &quot;spec_clk_i&quot; 5 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="-2.332" best="7.332" units="ns" errors="17" score="13975"/><twConstData type="HOLD" slack="0.429" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_tdc_led_status_o_clk = MAXDELAY FROM TIMEGRP &quot;clk&quot; TO TIMEGRP &quot;tdc_led_status_o&quot; 6 ns</twConstName><twConstData type="MAXDELAY" slack="2.191" best="3.809" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_clk = PERIOD TIMEGRP &quot;clk&quot; 8 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="3.102" best="4.898" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.463" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_led_green_o_spec_clk = MAXDELAY FROM TIMEGRP &quot;spec_clk&quot; TO TIMEGRP &quot;spec_led_green_o&quot; 48 ns</twConstName><twConstData type="MAXDELAY" slack="44.140" best="3.860" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_spec_clk = PERIOD TIMEGRP &quot;spec_clk&quot; 50 ns HIGH 50%</twConstName><twConstData type="MINPERIOD" slack="46.430" best="3.570" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Unconstrained OFFSET IN BEFORE analysis for clock &quot;spec_clk&quot;</twConstName><twConstData type="SETUP" best="13.053" units="ns" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Unconstrained OFFSET OUT AFTER analysis for clock &quot;spec_clk&quot;</twConstName><twConstData type="MAXDELAY" best="19.174" units="ns" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Unconstrained path analysis</twConstName><twConstData type="MAXDELAY" best="17.050" units="ns" score="0"/><twConstData type="HOLD" slack="2.135" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="4">2</twUnmetConstCnt><twInfo anchorID="5">INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</twInfo><twDataSheet anchorID="6" twNameLen="16"><twSUH2ClkList anchorID="7" twDestWidth="11" twPhaseWidth="8"><twDest>spec_clk_i</twDest><twSUH2Clk ><twSrc>rst_n_a_i</twSrc><twSUHTime twInternalClk ="spec_clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">13.053</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="f">-1.570</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>spec_aux0_i</twSrc><twSUHTime twInternalClk ="spec_clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">8.165</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-4.426</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>spec_aux1_i</twSrc><twSUHTime twInternalClk ="spec_clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">9.761</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="f">-1.373</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twSUH2ClkList anchorID="8" twDestWidth="11" twPhaseWidth="3"><twDest>tdc_clk_n_i</twDest><twSUH2Clk ><twSrc>spec_aux0_i</twSrc><twSUHTime twInternalClk ="clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">7.924</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-4.267</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twSUH2ClkList anchorID="9" twDestWidth="11" twPhaseWidth="3"><twDest>tdc_clk_p_i</twDest><twSUH2Clk ><twSrc>spec_aux0_i</twSrc><twSUHTime twInternalClk ="clk" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">7.925</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-4.267</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twClk2OutList anchorID="10" twDestWidth="15" twPhaseWidth="8"><twSrc>spec_clk_i</twSrc><twClk2Out twOutPad = "pll_cs_o" twMinTime = "6.586" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "14.889" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "pll_sclk_o" twMinTime = "3.127" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.380" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "pll_sdi_o" twMinTime = "6.499" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "19.174" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_aux2_o" twMinTime = "6.040" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "18.339" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_aux3_o" twMinTime = "6.706" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "15.031" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_aux4_o" twMinTime = "3.014" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.266" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "spec_led_red_o" twMinTime = "3.209" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.412" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "tdc_led_trig1_o" twMinTime = "3.128" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.381" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="spec_clk" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2OutList anchorID="11" twDestWidth="16" twPhaseWidth="3"><twSrc>tdc_clk_n_i</twSrc><twClk2Out twOutPad = "tdc_led_status_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.564" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "tdc_led_trig2_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.564" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2OutList anchorID="12" twDestWidth="16" twPhaseWidth="3"><twSrc>tdc_clk_p_i</twSrc><twClk2Out twOutPad = "tdc_led_status_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.563" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "tdc_led_trig2_o" twMinTime = "3.324" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "8.563" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2SUList anchorID="13" twDestWidth="11"><twDest>spec_clk_i</twDest><twClk2SU><twSrc>spec_clk_i</twSrc><twRiseRise>7.332</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_n_i</twSrc><twRiseRise>5.950</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_p_i</twSrc><twRiseRise>5.950</twRiseRise></twClk2SU></twClk2SUList><twClk2SUList anchorID="14" twDestWidth="11"><twDest>tdc_clk_n_i</twDest><twClk2SU><twSrc>spec_clk_i</twSrc><twRiseRise>11.383</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_n_i</twSrc><twRiseRise>4.898</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_p_i</twSrc><twRiseRise>4.898</twRiseRise></twClk2SU></twClk2SUList><twClk2SUList anchorID="15" twDestWidth="11"><twDest>tdc_clk_p_i</twDest><twClk2SU><twSrc>spec_clk_i</twSrc><twRiseRise>11.383</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_n_i</twSrc><twRiseRise>4.898</twRiseRise></twClk2SU><twClk2SU><twSrc>tdc_clk_p_i</twSrc><twRiseRise>4.898</twRiseRise></twClk2SU></twClk2SUList><twPad2PadList anchorID="16" twSrcWidth="11" twDestWidth="16"><twPad2Pad><twSrc>pll_ld_i</twSrc><twDest>spec_led_green_o</twDest><twDel>12.366</twDel></twPad2Pad><twPad2Pad><twSrc>rst_n_a_i</twSrc><twDest>spec_aux5_o</twDest><twDel>14.919</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux0_i</twSrc><twDest>tdc_led_trig3_o</twDest><twDel>15.691</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux0_i</twSrc><twDest>tdc_led_trig4_o</twDest><twDel>15.691</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux0_i</twSrc><twDest>tdc_led_trig5_o</twDest><twDel>17.050</twDel></twPad2Pad><twPad2Pad><twSrc>spec_aux1_i</twSrc><twDest>spec_aux5_o</twDest><twDel>8.387</twDel></twPad2Pad></twPad2PadList><twOffsetTables></twOffsetTables></twDataSheet></twSumRpt></twBody><twSum anchorID="17"><twErrCnt>18</twErrCnt><twScore>19904</twScore><twSetupScore>19904</twSetupScore><twHoldScore>0</twHoldScore><twConstCov><twPathCnt>5298</twPathCnt><twNetCnt>0</twNetCnt><twConnCnt>836</twConnCnt></twConstCov><twStats anchorID="18"><twMinPer>11.929</twMinPer><twMaxFreq>83.829</twMaxFreq><twMaxCombDel>17.050</twMaxCombDel><twMaxFromToDel>11.929</twMaxFromToDel><twMinInBeforeClk>13.053</twMinInBeforeClk><twMaxOutBeforeClk>19.174</twMaxOutBeforeClk></twStats></twSum><twFoot><twTimestamp>Mon Jul 18 09:45:06 2011 </twTimestamp></twFoot><twClientInfo anchorID="19"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
Peak Memory Usage: 348 MB
</twValue></twAttrListItem></twAttrList></twClientInfo></twReport>
Release 13.1 - par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:02 2011
Mon Jul 18 09:44:59 2011
All signals are completely routed.
......
#Release 13.1 - par O.40d (lin64)
#Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
#Fri Jul 15 19:32:01 2011
#Mon Jul 18 09:44:59 2011
#
## NOTE: This file is designed to be imported into a spreadsheet program
......@@ -190,7 +190,7 @@ F14,,IOBM,IO_L36P_GCLK15_0,UNUSED,,0,,,,,,,,,
F15,,IOBS,IO_L36N_GCLK14_0,UNUSED,,0,,,,,,,,,
F16,,IOBS,IO_L37N_GCLK12_0,UNUSED,,0,,,,,,,,,
F17,,IOBS,IO_L51N_0,UNUSED,,0,,,,,,,,,
F18,spec_aux4_o,IOB,IO_L1P_A25_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,NO,NONE,
F18,spec_aux4_o,IOB,IO_L1P_A25_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,YES,NONE,
F19,,IOBS,IO_L1N_A24_VREF_1,UNUSED,,1,,,,,,,,,
F20,spec_aux3_o,IOB,IO_L29N_A22_M1A14_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,NO,NONE,
F21,p2l_data_i(14),IOB,IO_L31P_A19_M1CKE_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,NO,NONE,
......@@ -213,7 +213,7 @@ G15,,IOBS,IO_L49N_0,UNUSED,,0,,,,,,,,,
G16,,IOBM,IO_L51P_0,UNUSED,,0,,,,,,,,,
G17,,,TDO,,,,,,,,,,,,
G18,,,GND,,,,,,,,,,,,
G19,spec_aux2_o,IOB,IO_L29P_A23_M1A13_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,YES,NONE,
G19,spec_aux2_o,IOB,IO_L29P_A23_M1A13_1,OUTPUT,LVCMOS18,1,12,,,,,LOCATED,NO,NONE,
G20,p2l_data_i(6),IOB,IO_L35P_A11_M1A7_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,NO,NONE,
G21,,,VCCO_1,,,1,,,,,1.80,,,,
G22,p2l_data_i(5),IOB,IO_L35N_A10_M1A2_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,NO,NONE,
......
Release 13.1 - par O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:02 2011
Mon Jul 18 09:44:59 2011
INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are:
......@@ -191,7 +191,7 @@ Pinout by Pin Number:
|F15 | |IOBS |IO_L36N_GCLK14_0 |UNUSED | |0 | | | | | | | | |
|F16 | |IOBS |IO_L37N_GCLK12_0 |UNUSED | |0 | | | | | | | | |
|F17 | |IOBS |IO_L51N_0 |UNUSED | |0 | | | | | | | | |
|F18 |spec_aux4_o |IOB |IO_L1P_A25_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |NO |NONE |
|F18 |spec_aux4_o |IOB |IO_L1P_A25_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |YES |NONE |
|F19 | |IOBS |IO_L1N_A24_VREF_1 |UNUSED | |1 | | | | | | | | |
|F20 |spec_aux3_o |IOB |IO_L29N_A22_M1A14_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |NO |NONE |
|F21 |p2l_data_i(14) |IOB |IO_L31P_A19_M1CKE_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |NO |NONE |
......@@ -214,7 +214,7 @@ Pinout by Pin Number:
|G16 | |IOBM |IO_L51P_0 |UNUSED | |0 | | | | | | | | |
|G17 | | |TDO | | | | | | | | | | | |
|G18 | | |GND | | | | | | | | | | | |
|G19 |spec_aux2_o |IOB |IO_L29P_A23_M1A13_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |YES |NONE |
|G19 |spec_aux2_o |IOB |IO_L29P_A23_M1A13_1 |OUTPUT |LVCMOS18 |1 |12 | | | | |LOCATED |NO |NONE |
|G20 |p2l_data_i(6) |IOB |IO_L35P_A11_M1A7_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |NO |NONE |
|G21 | | |VCCO_1 | | |1 | | | | |1.80 | | | |
|G22 |p2l_data_i(5) |IOB |IO_L35N_A10_M1A2_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |NO |NONE |
......
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="ParStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>269</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>709</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>709</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>601</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>7.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>287</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>836</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>836</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>711</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>9.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>10.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>10.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>11.9 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>12.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>17.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>20.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>20.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>20.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>20.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>12.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>17.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>20.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>20.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>20.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>20.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>2.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>3.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>7.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>12.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>7.2</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>5.4</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>4.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>8.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>1.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>4.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>8.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0271</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0277</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
......@@ -14,7 +14,7 @@ Name Total elements Utilization Notes
REGISTERS 92 100 %
LATCHES 0 0 %
======================================================
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.70 % Utilization)
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.04 % Utilization)
COMBINATIONAL LOGIC
......@@ -22,13 +22,13 @@ COMBINATIONAL LOGIC
Name Total elements Utilization Notes
-----------------------------------------------------------------
LUTS 178 100 %
LUTS 196 100 %
MUXCY 70 100 %
XORCY 71 100 %
MULT18x18/MULT18x18S 1 100 %
SRL16 0 0 %
=================================================================
Total COMBINATIONAL LOGIC in the block top_tdc: 320 (65.04 % Utilization)
Total COMBINATIONAL LOGIC in the block top_tdc: 338 (66.27 % Utilization)
MEMORY ELEMENTS
......@@ -69,7 +69,7 @@ Name Total elements Utilization Notes
-------------------------------------------------
PADS 66 100 %
=================================================
Total IO PADS in the block top_tdc: 66 (13.41 % Utilization)
Total IO PADS in the block top_tdc: 66 (12.94 % Utilization)
#### START OF Block RAM DETAILED REPORT ####
......
......@@ -16,7 +16,7 @@ Name Total elements Utilization Notes
REGISTERS 92 100 %
LATCHES 0 0 %
======================================================
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.70 % Utilization)
Total SEQUENTIAL ELEMENTS in the block top_tdc: 92 (18.04 % Utilization)
<a href="#TopSummary"><h5 align="right">Top</h5></a>
......@@ -25,13 +25,13 @@ COMBINATIONAL LOGIC
Name Total elements Utilization Notes
-----------------------------------------------------------------
LUTS 178 100 %
LUTS 196 100 %
MUXCY 70 100 %
XORCY 71 100 %
MULT18x18/MULT18x18S 1 100 %
SRL16 0 0 %
=================================================================
Total COMBINATIONAL LOGIC in the block top_tdc: 320 (65.04 % Utilization)
Total COMBINATIONAL LOGIC in the block top_tdc: 338 (66.27 % Utilization)
<a href="#TopSummary"><h5 align="right">Top</h5></a>
......@@ -76,7 +76,7 @@ Name Total elements Utilization Notes
-------------------------------------------------
PADS 66 100 %
=================================================
Total IO PADS in the block top_tdc: 66 (13.41 % Utilization)
Total IO PADS in the block top_tdc: 66 (12.94 % Utilization)
<a href="#TopSummary"><h5 align="right">Top</h5></a>
#### START OF Block RAM DETAILED REPORT ####
......
#-- Synopsys, Inc.
#-- Version D-2010.03
#-- Project file /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/run_options.txt
#-- Written on Fri Jul 15 19:30:52 2011
#-- Written on Mon Jul 18 09:43:56 2011
#project files
......
#-- Synopsys, Inc.
#-- Version D-2010.03
#-- Project file /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/scratchproject.prs
#-- Written on Fri Jul 15 19:30:52 2011
#-- Written on Mon Jul 18 09:43:56 2011
#project files
......
......@@ -37,10 +37,10 @@ NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
Total memory usage is 311956 kilobytes
Total memory usage is 312080 kilobytes
Writing NGD file "syn_tdc.ngd" ...
Total REAL time to NGDBUILD completion: 9 sec
Total REAL time to NGDBUILD completion: 10 sec
Total CPU time to NGDBUILD completion: 3 sec
Writing NGDBUILD log file "syn_tdc.bld"...
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -8,7 +8,7 @@ Target Device : xc6slx45t
Target Package : fgg484
Target Speed : -2
Mapper Version : spartan6 -- $Revision: 1.55 $
Mapped Date : Fri Jul 15 19:31:22 2011
Mapped Date : Mon Jul 18 09:44:18 2011
Mapping design into LUTs...
Writing file syn_tdc.ngm...
......@@ -19,59 +19,59 @@ INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
Running timing-driven placement...
Total REAL time at the beginning of Placer: 8 secs
Total CPU time at the beginning of Placer: 8 secs
Total CPU time at the beginning of Placer: 7 secs
Phase 1.1 Initial Placement Analysis
Phase 1.1 Initial Placement Analysis (Checksum:8e69df18) REAL time: 9 secs
Phase 1.1 Initial Placement Analysis (Checksum:8e53cbb8) REAL time: 9 secs
Phase 2.7 Design Feasibility Check
INFO:Place:834 - Only a subset of IOs are locked. Out of 135 IOs, 134 are locked
and 1 are not locked. If you would like to print the names of these IOs,
please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.
Phase 2.7 Design Feasibility Check (Checksum:8e69df18) REAL time: 9 secs
Phase 2.7 Design Feasibility Check (Checksum:8e53cbb8) REAL time: 9 secs
Phase 3.31 Local Placement Optimization
Phase 3.31 Local Placement Optimization (Checksum:8e69df18) REAL time: 9 secs
Phase 3.31 Local Placement Optimization (Checksum:8e53cbb8) REAL time: 9 secs
Phase 4.2 Initial Placement for Architecture Specific Features
Phase 4.2 Initial Placement for Architecture Specific Features
(Checksum:4ab12e71) REAL time: 14 secs
(Checksum:5135e245) REAL time: 14 secs
Phase 5.36 Local Placement Optimization
Phase 5.36 Local Placement Optimization (Checksum:4ab12e71) REAL time: 14 secs
Phase 5.36 Local Placement Optimization (Checksum:5135e245) REAL time: 14 secs
Phase 6.30 Global Clock Region Assignment
Phase 6.30 Global Clock Region Assignment (Checksum:4ab12e71) REAL time: 14 secs
Phase 6.30 Global Clock Region Assignment (Checksum:5135e245) REAL time: 14 secs
Phase 7.3 Local Placement Optimization
Phase 7.3 Local Placement Optimization (Checksum:7f94c7c9) REAL time: 14 secs
Phase 7.3 Local Placement Optimization (Checksum:31c1d851) REAL time: 14 secs
Phase 8.5 Local Placement Optimization
Phase 8.5 Local Placement Optimization (Checksum:7f94c7c9) REAL time: 14 secs
Phase 8.5 Local Placement Optimization (Checksum:31c1d851) REAL time: 14 secs
Phase 9.8 Global Placement
..............
......................
................
........
Phase 9.8 Global Placement (Checksum:e99791f2) REAL time: 15 secs
............
.................................................................
.......................
...
Phase 9.8 Global Placement (Checksum:78e18431) REAL time: 15 secs
Phase 10.5 Local Placement Optimization
Phase 10.5 Local Placement Optimization (Checksum:e99791f2) REAL time: 15 secs
Phase 10.5 Local Placement Optimization (Checksum:78e18431) REAL time: 15 secs
Phase 11.18 Placement Optimization
Phase 11.18 Placement Optimization (Checksum:708689a4) REAL time: 16 secs
Phase 11.18 Placement Optimization (Checksum:fe7345b3) REAL time: 17 secs
Phase 12.5 Local Placement Optimization
Phase 12.5 Local Placement Optimization (Checksum:708689a4) REAL time: 16 secs
Phase 12.5 Local Placement Optimization (Checksum:fe7345b3) REAL time: 17 secs
Phase 13.34 Placement Validation
Phase 13.34 Placement Validation (Checksum:6ccb73df) REAL time: 16 secs
Phase 13.34 Placement Validation (Checksum:f80c1b30) REAL time: 17 secs
Total REAL time to Placer completion: 16 secs
Total CPU time to Placer completion: 15 secs
Total REAL time to Placer completion: 17 secs
Total CPU time to Placer completion: 17 secs
Running post-placement packing...
Writing output files...
WARNING:PhysDesignRules:367 - The signal <p2l_data_i(0)_IBUF> is incomplete. The
......@@ -227,11 +227,11 @@ Slice Logic Utilization:
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 176 out of 27,288 1%
Number using O6 output only: 108
Number using O5 output only: 30
Number using O5 and O6: 38
Number of Slice LUTs: 193 out of 27,288 1%
Number used as logic: 192 out of 27,288 1%
Number using O6 output only: 121
Number using O5 output only: 31
Number using O5 and O6: 40
Number used as ROM: 0
Number used as Memory: 0 out of 6,408 0%
Number used exclusively as route-thrus: 1
......@@ -240,11 +240,11 @@ Slice Logic Utilization:
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 63 out of 6,822 1%
Number of LUT Flip Flop pairs used: 179
Number with an unused Flip Flop: 93 out of 179 51%
Number with an unused LUT: 2 out of 179 1%
Number of fully used LUT-FF pairs: 84 out of 179 46%
Number of occupied Slices: 80 out of 6,822 1%
Number of LUT Flip Flop pairs used: 195
Number with an unused Flip Flop: 109 out of 195 55%
Number with an unused LUT: 2 out of 195 1%
Number of fully used LUT-FF pairs: 84 out of 195 43%
Number of unique control sets: 6
Number of slice register sites lost
to control set restrictions: 18 out of 54,576 1%
......@@ -289,11 +289,11 @@ Specific Feature Utilization:
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
Average Fanout of Non-Clock Nets: 2.20
Average Fanout of Non-Clock Nets: 2.34
Peak Memory Usage: 606 MB
Total REAL time to MAP completion: 17 secs
Total CPU time to MAP completion: 16 secs
Peak Memory Usage: 607 MB
Total REAL time to MAP completion: 18 secs
Total CPU time to MAP completion: 17 secs
Mapping completed.
See MAP report file "syn_tdc.mrp" for details.
......@@ -8,7 +8,7 @@ Target Device : xc6slx45t
Target Package : fgg484
Target Speed : -2
Mapper Version : spartan6 -- $Revision: 1.55 $
Mapped Date : Fri Jul 15 19:31:22 2011
Mapped Date : Mon Jul 18 09:44:18 2011
Design Summary
--------------
......@@ -20,11 +20,11 @@ Slice Logic Utilization:
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 176 out of 27,288 1%
Number using O6 output only: 108
Number using O5 output only: 30
Number using O5 and O6: 38
Number of Slice LUTs: 193 out of 27,288 1%
Number used as logic: 192 out of 27,288 1%
Number using O6 output only: 121
Number using O5 output only: 31
Number using O5 and O6: 40
Number used as ROM: 0
Number used as Memory: 0 out of 6,408 0%
Number used exclusively as route-thrus: 1
......@@ -33,11 +33,11 @@ Slice Logic Utilization:
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 63 out of 6,822 1%
Number of LUT Flip Flop pairs used: 179
Number with an unused Flip Flop: 93 out of 179 51%
Number with an unused LUT: 2 out of 179 1%
Number of fully used LUT-FF pairs: 84 out of 179 46%
Number of occupied Slices: 80 out of 6,822 1%
Number of LUT Flip Flop pairs used: 195
Number with an unused Flip Flop: 109 out of 195 55%
Number with an unused LUT: 2 out of 195 1%
Number of fully used LUT-FF pairs: 84 out of 195 43%
Number of unique control sets: 6
Number of slice register sites lost
to control set restrictions: 18 out of 54,576 1%
......@@ -82,11 +82,11 @@ Specific Feature Utilization:
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
Average Fanout of Non-Clock Nets: 2.20
Average Fanout of Non-Clock Nets: 2.34
Peak Memory Usage: 606 MB
Total REAL time to MAP completion: 17 secs
Total CPU time to MAP completion: 16 secs
Peak Memory Usage: 607 MB
Total REAL time to MAP completion: 18 secs
Total CPU time to MAP completion: 17 secs
Table of Contents
-----------------
......@@ -340,7 +340,7 @@ INFO:Pack:1650 - Map created a placed design.
Section 4 - Removed Logic Summary
---------------------------------
2 block(s) optimized away
180 Block(s) redundant
179 Block(s) redundant
Section 5 - Removed Logic
-------------------------
......@@ -423,6 +423,7 @@ LOCALBUF tdc_led_counter.value_cry[28]/MUXCY_L_BUF
LOCALBUF tdc_led_counter.value_cry[29]/MUXCY_L_BUF
LOCALBUF tdc_led_counter.value_cry[30]/MUXCY_L_BUF
LOCALBUF tdc_led_counter.value_RNI7QVF[13]/LUT2_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_RNITDB5[10]/LUT2_L_BUF
LOCALBUF tdc_led_counter.value_RNIUJ66[1]/LUT1_L_BUF
LOCALBUF tdc_led_counter.value_RNIVN66[2]/LUT1_L_BUF
LOCALBUF tdc_led_counter.value_RNI0S66[3]/LUT1_L_BUF
......@@ -454,53 +455,51 @@ LOCALBUF tdc_led_counter.value_RNIB1[28]/LUT1_L_BUF
LOCALBUF tdc_led_counter.value_RNIC1[29]/LUT1_L_BUF
LOCALBUF tdc_led_counter.value_RNI65[30]/LUT1_L_BUF
LOCALBUF tdc_led_counter.value_s_RNO[31]/LUT1_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[31]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[30]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[29]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[28]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[27]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[26]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[25]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[24]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[23]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[22]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[21]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[20]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[19]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[18]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[17]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[16]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[15]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[14]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[13]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[12]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[11]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[10]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[9]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[8]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[7]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[6]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[5]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[4]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[3]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[2]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[1]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[31]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[30]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[29]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[28]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[27]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[26]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[25]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[24]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[23]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[22]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[21]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[20]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[19]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[18]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[17]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[16]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[15]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[14]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[13]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[12]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[11]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[10]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[9]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[8]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[7]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[6]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[5]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[4]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[3]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[2]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[1]/LUT6_L_BUF
LOCALBUF tdc_led_status_e/LUT2_L_BUF
LOCALBUF spec_led_red_e/LUT2_L_BUF
LOCALBUF tdc_led_counter.count_done_RNO/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.pll_init_st_srsts_i[3]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.count_done_RNO/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.pll_init_st_srsts_i_o2[3]/LUT6_L_BUF
LOCALBUF tdc_led_counter.value_RNI2PVN[27]/LUT4_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_RNIUV8Q[20]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_RNI9VG9[7]/LUT2_L_BUF
LOCALBUF clks_rsts_mgment.pll_init_st_srsts_i[2]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.pll_init_st_RNO[1]/LUT6_L_BUF
LOCALBUF spec_led_red_counter.count_done_RNO/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[0]/LUT4_L_BUF
LOCALBUF clks_rsts_mgment.pll_init_st_RNO[2]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.pll_init_st_RNO[3]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.bit_index_RNO[3]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.bit_index_RNO[2]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.bit_index_RNO[0]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.bit_index_RNO[1]/LUT5_L_BUF
LOCALBUF clks_rsts_mgment.bit_index_RNO[0]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.count_done_RNO/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.pll_init_st_RNO_0[3]/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_RNI70OA[20]/LUT4_L_BUF
LOCALBUF spec_led_red_counter.count_done_RNO/LUT6_L_BUF
LOCALBUF clks_rsts_mgment.general_poreset.value_lm_0[0]/LUT6_L_BUF
LUT1 clks_rsts_mgment.general_poreset.value_s_RNO[31]
LUT1 clks_rsts_mgment.general_poreset.value_RNI0LL2[30]
LUT1 clks_rsts_mgment.general_poreset.value_RNI8PM2[29]
......@@ -648,9 +647,9 @@ Section 6 - IOB Properties
| spare_o | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | |
| spec_aux0_i | IOB | INPUT | LVCMOS18 | | | | | | |
| spec_aux1_i | IOB | INPUT | LVCMOS18 | | | | | | |
| spec_aux2_o | IOB | OUTPUT | LVCMOS18 | | 12 | SLOW | OFF | | |
| spec_aux2_o | IOB | OUTPUT | LVCMOS18 | | 12 | SLOW | | | |
| spec_aux3_o | IOB | OUTPUT | LVCMOS18 | | 12 | SLOW | | | |
| spec_aux4_o | IOB | OUTPUT | LVCMOS18 | | 12 | SLOW | | | |
| spec_aux4_o | IOB | OUTPUT | LVCMOS18 | | 12 | SLOW | OFF | | |
| spec_aux5_o | IOB | OUTPUT | LVCMOS18 | | 12 | SLOW | | | |
| spec_clk_i | IOB | INPUT | LVCMOS25 | | | | | | |
| spec_led_green_o | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | |
......@@ -721,13 +720,13 @@ Section 12 - Control Set Information
+--------------------------------------------------------------------------------------------------------------+
| Clock Signal | Reset Signal | Set Signal | Enable Signal | Slice Load Count | Bel Load Count |
+--------------------------------------------------------------------------------------------------------------+
| clk | N_51_i | | | 8 | 32 |
| clk | N_39_i | | | 8 | 32 |
| clk | clks_rsts_mgment.inv_reset_i | | | 2 | 2 |
| clk | pll_sclk_i | | | 1 | 1 |
| clk | pll_sclk_o_c_i | | | 1 | 1 |
+--------------------------------------------------------------------------------------------------------------+
| spec_clk | | | | 5 | 11 |
| spec_clk | N_132_i_iso | | | 6 | 8 |
| spec_clk | N_132_i_iso | | N_10_i | 9 | 32 |
| spec_clk | | | | 9 | 11 |
| spec_clk | N_188_i_iso | | | 7 | 8 |
| spec_clk | N_188_i_iso | | N_18_i | 20 | 32 |
+--------------------------------------------------------------------------------------------------------------+
Section 13 - Utilization by Hierarchy
......@@ -735,7 +734,7 @@ Section 13 - Utilization by Hierarchy
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
| Module | Partition | Slices* | Slice Reg | LUTs | LUTRAM | BRAM/FIFO | DSP48A1 | BUFG | BUFIO | BUFR | DCM | PLL_ADV | Full Hierarchical |
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
| top_tdc/ | | 63/63 | 86/86 | 146/146 | 0/0 | 1/1 | 1/1 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | top_tdc |
| top_tdc/ | | 80/80 | 86/86 | 162/162 | 0/0 | 1/1 | 1/1 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | top_tdc |
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
* Slices can be packed with basic elements from multiple hierarchies.
......
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
//! **************************************************************************
// Written by: Map O.40d on Fri Jul 15 19:31:38 2011
// Written by: Map O.40d on Mon Jul 18 09:44:36 2011
//! **************************************************************************
SCHEMATIC START;
......@@ -153,6 +153,7 @@ TIMEGRP spec_clk_i = BEL "clks_rsts_mgment.spec_clk_gbuf" BEL
BEL "clks_rsts_mgment.bit_index[2]" BEL
"clks_rsts_mgment.bit_index[3]" BEL "clks_rsts_mgment.pll_sclk" PIN
"spec_led_red_counter.value[31:0]_pins<92>" BEL
"clks_rsts_mgment.general_poreset.count_done_rep0_i" BEL
"clks_rsts_mgment.general_poreset.value[0]" BEL
"clks_rsts_mgment.general_poreset.value[1]" BEL
"clks_rsts_mgment.general_poreset.value[2]" BEL
......@@ -185,8 +186,7 @@ TIMEGRP spec_clk_i = BEL "clks_rsts_mgment.spec_clk_gbuf" BEL
"clks_rsts_mgment.general_poreset.value[29]" BEL
"clks_rsts_mgment.general_poreset.value[30]" BEL
"clks_rsts_mgment.general_poreset.value[31]" BEL "spec_led_red_oreg"
BEL "clks_rsts_mgment.pll_sclk_oreg" BEL
"clks_rsts_mgment.pll_sclk_oreg_0" PIN
BEL "clks_rsts_mgment.pll_sclk_oreg" PIN
"clks_rsts_mgment.un7_word_being_sent_0_0_pins<26>" BEL
"tdc_led_trig1_o" BEL "spec_led_red" BEL
"spec_led_red_counter.count_done" BEL
......@@ -203,6 +203,7 @@ TIMEGRP spec_clk = BEL "clks_rsts_mgment.general_poreset.count_done" BEL
BEL "clks_rsts_mgment.bit_index[2]" BEL
"clks_rsts_mgment.bit_index[3]" BEL "clks_rsts_mgment.pll_sclk" PIN
"spec_led_red_counter.value[31:0]_pins<92>" BEL
"clks_rsts_mgment.general_poreset.count_done_rep0_i" BEL
"clks_rsts_mgment.general_poreset.value[0]" BEL
"clks_rsts_mgment.general_poreset.value[1]" BEL
"clks_rsts_mgment.general_poreset.value[2]" BEL
......@@ -235,8 +236,7 @@ TIMEGRP spec_clk = BEL "clks_rsts_mgment.general_poreset.count_done" BEL
"clks_rsts_mgment.general_poreset.value[29]" BEL
"clks_rsts_mgment.general_poreset.value[30]" BEL
"clks_rsts_mgment.general_poreset.value[31]" BEL "spec_led_red_oreg"
BEL "clks_rsts_mgment.pll_sclk_oreg" BEL
"clks_rsts_mgment.pll_sclk_oreg_0" PIN
BEL "clks_rsts_mgment.pll_sclk_oreg" PIN
"clks_rsts_mgment.un7_word_being_sent_0_0_pins<26>" BEL
"tdc_led_trig1_o" BEL "spec_led_red" BEL
"spec_led_red_counter.count_done" BEL
......
......@@ -186,99 +186,99 @@ H8R0OC_D80_#N#0k;H
NRD3OFRO "O08_ OD4".6;H
NRD3OF_O CC8oRH"s#;C"
RNH3FODOC _MDNLCMR":O08_8DC_kOFM80_F"MC;H
NR$3#MsO_C0#CR:"MoCCMs_NDsCC#0
";oBbR;b
NR#3H_FODO4 R;b
NRD3OFRO "O08_ OD4".6;b
NRD3OF_O CC8oRH"s#;C"
H
oRC#bOC_D8C_s8N;
HOR3D FORb"#COO_Dj ."N;
HOR3D FO_oC8CsR"H"#C;H
NRD3OF_O CLMND"CRMb:#CDO_CO8_F0kM_M8FC
";N3HR#O$M_#sCC"0RMb:#CNO_k_G6F
";oBbR;b
NR#3H_FODO4 R;b
NRD3OFRO "C#bOD_O ".j;b
NRD3OF_O CC8oRH"s#;C"
RoM#ObC_ OD_
H;N3MRHO#_D FOR
4;o#MRb_COO;D
RNM3_H#OODF ;R4
RNM3FODO" R#ObC_ OD.;j"
RNM3FODOC _8RoC"#sHC
";N3MRHo#_N80C_FODO4 R;o
HbR#CDO_Cs8_C
8;oBbR;b
NR#3H_FODO4 R;b
NR#3H_0oNCO8_D FOR
4;
RoH#ObC_8DC_8sC_kOFMs0C;b
oR OD;b
NR#3H_FODO4 R;b
NR#3H_0oNCO8_D FOR
4;
RoH0_8OD_C80osH4;_F
RobBN;
bHR3#D_OFRO 4N;
bHR3#N_o0_C8OODF ;R4
RoMO;D
RNM3_H#OODF ;R4
RNM3FODO" R0_8OO4D .;6"
RNM3FODOC _8RoC"#sHC
";N3MRHo#_N80C_FODO4 R;o
H8R0OC_D8F_OkCM0so;
bDRO N;
bHR3#D_OFRO 4N;
bHR3#N_o0_C8OODF ;R4
H
oRO08_8DC_N#00;k#
RobBN;
bHR3#D_OFRO 4N;
bHR3#N_o0_C8OODF ;R4
H
oRO08_8DC_H0soF._;b
NR$3#MsO_C0#CR:"M#ObC_GNkc"_F;b
oR
B;N3bRHO#_D FOR
4;N3bRHo#_N80C_FODO4 R;P
NR$3#MF_OlDbHCF_bHRM04N;
POR3FHlbDbC_F0HM_lMNCFR0b8_0O
4;N3bROODF 0R"8OO_D. 46
";N3bROODF 8_Co"CRsCH#"
;
8bR#CDO_Cs8_CO8_F0kMC
s;o8HRCkOFM30\k_M.CLMNDRC;N3HRs_0DO#FM0sR0k
C;
RoHOMFk0F_8M
C;N3HROODF #R"b_COO.D j
";N3HROODF 8_Co"CRsCH#"N;
HOR3D FO_NCMLRDC"#M:b_COD_C8s_C8OMFk03Cse"BB;H
o#HRb_COD_C8s;C8
RNH3FODO" R#ObC_ OD.;j"
RNH3FODOC _8RoC"#sHC
";N3HROODF M_CNCLDR:"M#ObC_8DC_kOFM80_F"MC;H
NR$3#MsO_C0#CR:"M#ObC_GNk6"_F;b
oR
B;N3bRHO#_D FOR
4;N3bROODF #R"b_COO.D j
";N3bROODF 8_Co"CRsCH#"
;
oPHRNCDkr:d4j
9;N3HROODF #R"b_COO.D j
";N3HROODF 8_Co"CRsCH#"o;
";N3bROODF 8_Co"CRsCH#"o;
MbR#COO_DH _;M
NR#3H_FODO4 R;M
oRC#bOD_O N;
MHR3#D_OFRO 4N;
MOR3D FORb"#COO_Dj ."N;
MOR3D FO_oC8CsR"H"#C;M
NR#3H_0oNCO8_D FOR
4;
RoH#ObC_8DC_8sC;b
oR
B;N3bRHO#_D FOR
4;N3bRHo#_N80C_FODO4 R;o
HbR#CDO_Cs8_CO8_F0kMC
s;oObRD
;N3bRHO#_D FOR
4;N3bRHo#_N80C_FODO4 R;o
H8R0OC_D8s_0H_o4Fo;
b;RB
RNb3_H#OODF ;R4
RNb3FODO" R#ObC_ OD.;j"
RNb3FODOC _8RoC"#sHC
";oOMRD
;N3MRHO#_D FOR
4;N3MROODF #R"b_COO.D j
";N3MROODF 8_Co"CRsCH#"
RNb3_H#oCN08D_OFRO 4o;
MDRO N;
MHR3#D_OFRO 4N;
MOR3D FOR8"0OD_O 64."N;
MOR3D FO_oC8CsR"H"#C;M
NR#3H_0oNCO8_D FOR
4;
RoH0_8OD_C8OMFk0;Cs
RobO;D
RNb3_H#OODF ;R4
RNb3_H#oCN08D_OFRO 4
;
88R0OC_D8F_OkCM0so;
HCR8OMFk0k\3MC._MDNLCN;RHsR30OD_F0M#Rk0sC
o0HR8DO_C#8_0kN0#o;
b;RB
RNb3_H#OODF ;R4
RNb3_H#oCN08D_OFRO 4
;
oOHRF0kM_M8FCN;
HOR3D FOR8"0OD_O 64."N;
HOR3D FO_oC8CsR"H"#C;H
NRD3OF_O CLMND"CRM8:0OC_D8F_OkCM0sB3eB
";N3HR#O$M_#sCC"0RMC:oMNCsDC_s#"C0;b
o0HR8DO_C08_s.Ho_
F;oBbR;b
NR#3H_FODO4 R;b
NR#3H_0oNCO8_D FOR
4;N3PR#_$MObFlH_DCbMFH0;R4
RNP3lOFbCHD_HbFMM0_NRlC0_Fb0;8O
R
8#ObC_8DC_8sC_kOFMs0C;H
oRO8CF0kM\M3k.M_CNCLD;HRNR03sDF_OMR#00Csk;o
HFROk_M08CFM;H
NRD3OFRO "C#bOD_O ".j;H
NRD3OF_O CC8oRH"s#;C"
RNH3FODOC _MDNLCMR":C#bOC_D8C_s8F_OkCM0sB3eB
";N3HR#O$M_#sCC"0RMb:#CNO_k_G6F
";oBbR;b
NR#3H_FODO4 R;b
NRD3OFRO "C#bOD_O ".j;b
NRD3OF_O CC8oRH"s#;C"
H
oRDPNkdCr49:j;H
NRD3OFRO "C#bOD_O ".j;H
NRD3OF_O CC8oRH"s#;C"
RobBN;
bHR3#D_OFRO 4N;
bOR3D FORb"#COO_Dj ."N;
bOR3D FO_oC8CsR"H"#C;M
oR OD;M
NR#3H_FODO4 R;M
NRD3OFRO "C#bOD_O ".j;M
NRD3OF_O CC8oRH"s#;C"
R
80_8OD_C8OMFk0;Cs
RoH8FCOk\M03.kM_NCML;DCRRNH3Ds0_MOF#00Rs;kC
H
oRkOFM80_F;MC
RNH3FODO" R0_8OO4D .;6"
RNH3FODOC _8RoC"#sHC
";N3HROODF M_CNCLDR:"M0_8OD_C8OMFk03Cse"BB;H
NR$3#MsO_C0#CR:"M#ObC_GNkc"_F;b
oR
B;N3bRHO#_D FOR
4;N3bROODF 0R"8OO_D. 46
......
......@@ -6,7 +6,7 @@
#
# Created by Synplify VHDL Compiler version comp500rc, Build 027R from Synplicity, Inc.
# Copyright 1994-2010 Synopsys, Inc. , All rights reserved.
# Synthesis Netlist written on Fri Jul 15 19:30:53 2011
# Synthesis Netlist written on Mon Jul 18 09:43:57 2011
#
#
#OPTIONS:"|-top|top_tdc|-dspmac|-pqdpadd|-primux|-fixsmult|-sdff_counter|-infer_seqShift|-nram|-divnmod|-dfltencoding|onehot|-encrypt|-pro|-lite|-ll|2000|-ui|-fid2|-ram|-sharing|off|-autosm|-ignore_undefined_lib|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work"
......@@ -45,11 +45,11 @@
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":1310389590
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_arbiter.vhd":1310389590
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":1310389590
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":1310750802
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":1310974921
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/one_hz_gen.vhd":1306400619
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/start_nb_offset_gen.vhd":1307641333
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":1307642203
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/test_tdc_pll/top_test_pll.vhd":1310736179
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/test_tdc_pll/top_test_pll.vhd":1310973394
f "/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/vhd/std.vhd"; # file 0
af .is_vhdl 1;
f "/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_pkg_s6.vhd"; # file 1
......@@ -355,269 +355,268 @@ mb=#COO_DF _
=SQ#ObC_ OD_VLk;R
s@.@d:d.c:.c:c4d:UFRIsH RM_OsOMFk0RCssR0DoCCMs_NDbCFs#
C0S OD=C#bOD_O
_FS8CM_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kC0Csk,k0sCs,0k0C,s,kCV#NDCs,0kSC
HsMO=NosDM_HOSs
sCC#0M=oksl_C0#C_SH
OMFk0F_8MHC=MsP_C0#C
kSOsMsC0N_PDrkCdj4:9M=k4C_oMNCsDF_bsCC#04rd:;j9
@bR@:d.dU(:::d(.LjRkNVRO_NlsOCVDF _RNNOlC_sV OD_NFRO_NlsOCVDH _;R
b@.@d:g.6::d6.:6g6HjRM#PRH$DD\H3#D_D$NCD0s.M_RD#HD3$\#DHD$D_N0MCs_#.RH$DD_0NDC;sM
@bR@:d..:nnUn:.nR:gHRMPk_M4#DHD$D_N0MCsR4kM_D#HDN$_Ds0CMHR#D_D$NCD0s
M;b@R@d..:U.6:gU:.66:dRPHMR4kM_0L$CM_H8rCG6n(:dk9RML4_$_0CHCM8G(r6:9ndR0L$CM_H8rCGn9:j;R
b@.@d:dd(::d4d:(dcH.RMbPRD#D_O_D oCCMsFN0sb\3D#D_O_D dDRbDO_#Do _CsMCNs0F\D3bDO_#Dd _
RRRRDbD_D#O b;
Rd@@.6:6j(:.:j66:RdgHRMPoCCMs_NDsCC#0R_FoCCMs_NDsCC#0R_FH_MPsCC#0b;
Rj@@:44::.4:Rk0sCsR0k0CRs;kC
@bR@4j::44::V.RNCD#RDVN#VCRNCD#;R
b@.@d::d(U(:d:R.j8bORD8D_N#O_$_MOFDRbDN_8O$_#MFO_;R
#@.@d:(4n:4(:n4(:(DRbDM_HH#0_0:rjcy9RRN#00lCRNHOEM3C
#00NCj#Rj4jjRjjj4jjRjj4jRjj4j4jRjjjj
03#N_0CHFMVRd@@.U:.U::U.:UU.jjRj4jjRN#0s30
#00NCM_HV@FR@:d..:g(Ug:.(c:dRjjj4#jRCHM8MHo_Ms#0kHO0F3M
#00NCM_HV@FR@:d.d:j(Uj:d((:.R4jjj#jRCHM8M8o_N
0N3N#00HC_MRVF@.@d:(d4:dU:44(:g4RjjRjjs0C#
03#N_0CHFMVRd@@.d:dj::Ud:dj44gRjjjjRM8FCH
3M0bkMCNl#$RL0HC_MG8CrRj9LC$0_8HMC4Gr9$RL0HC_MG8CrR.9LC$0_8HMCdGr9$RL0HC_MG8CrRc9LC$0_8HMC6Gr9H
3M0bkMCNl#$RL0HC_MG8CrRn9L_H0HCM8G9rjR0LH_8HMC4Gr9HRL0M_H8rCG.L9RHH0_MG8CrRd9olMk_#sCCH0_
M3HbMk0N#lCRDbD_D#O O
3D FORC#bOD_O R_FsHH#MCo_8
oC---------j---jR4jRjj4jjjjjR
jjjjj-j--4-jRjj4j4jRjjjjR-
----------Rjjjj4jj4RjjRjj
-------jjjjjj4Rjj4jRjj4j
jR---------j-4-jRj4Rjjjjj4j-R
--------4--jR4jjjjjRjj4jR-
--------4-Rj-jjj4jjRj4Rjj
-------4---jj-Rjj4jR4jjj
jR---------j--jjRj4Rjjjjj4j-R
-----j-jj4jjRjjj4jjRjj4jR-
-----4----Rj4jj4jjjRjjR4j
------4----jj4R4jjjRjjj4
jR----4----j--44RjjRjjj4jjj-R
---4-----4-jRjj4jjjRjjj4R-
-4--------Rj4jj4jjjRjjR4j
--4--------jj4R4jjjRjjj4
jR4--------j--44RjjRjjj4jjj-R
----------4jRjjj4jjRjjj4R-
------4---Rj-j4jjjjRjjR4j
---------4-jj-Rjjj4Rjjj4
jR-----4---j---jRjjR4jj4jjj-R
---------j-jRjjj4jjRjjj4R-
----------Rj4jjjj4jRjjR4j
-----------44-RjjjjRjjjj
4R---------4---4RjjRjjjjjj4-R
-----------4R4jjjjjRj4jjR-
----------R4-j4jjjjRjjRj4
-----------4j-Rj4jjRjjjj
4R------------jjRjjRj4jjjj4;R
RCyRMF8RV0R#NR0ClENOH
MCN3HRsC0D_R0F4N;
H$R#M#_Vl8_HR."d4(n(44n("N;
HsR30FD_sMHoNRlC"DbD_HHM00_#"b;
Rd@@.U:dj(:.:jdU:RddsRFlk_McI8Fs_HLCM#o_CrM04n6:9MRkcF_IsL8_CoHM_M#C06r4:Rn9LC$0_8HMCnGr:;j9
RNH3lsF0DNLCjR"jjjjj4jRj4jj44jjjj;
jjjjjj4Rjjjjjjjjjj;
jjjj4jjRjjjjjjjj4j;
jjjj4j4Rjjjjj4jjjj;
j4jjjjjRjjjjj4jj4j;
j4jjjj4Rjjjjjjj4jj;
j4jj4jjRjjjj4jjjjj;
j4jj4j4Rjjjj4jjj4j;
jjj4jjjRjjjj44jjjj;
jjj4jj4Rjjjj44jj4j;
jjj44jjRjjjj4jj4jj;
jjj44j4Rjjjj4jj44j;
j4j4jjjRjjjj44j4jj;
j4j4jj4Rjjjj44j44j;
j4j44jjRjjjj4j4jjj;
j4j44j4Rjjjj4j4j4j;
jj4jjjjRjjjj444jjj;
jj4jjj4Rjjjj444j4j;
jj4j4jjRjjjj4j44jj;
jj4j4j4Rjjjj4j444j;
j44jjjjRjjjj4444jj;
j44jjj4Rjjjj44444j;
j44j4jjRj44jjjjjjj;
j44j4j4Rj44jjjjj4j;
jj44jjjRj44jj4jjjj;
jj44jj4Rj44jj4jj4j;
jj444jjRj44jjjj4jj;
jj444j4Rj44jjjj44j;
j444jjjRj44jj4j4jj;
j444jj4Rj44jj4j44j;
j4444jjRj44jjj4jjj;
j4444j4Rj44jjj4j4j;
4jjjjjjRj44jj44jjj;
4jjjjj4Rj44jj44j4j;
4jjj4jjRj4444jjjjj;
4jjj4j4Rj4444jjj4j;
44jjjjjRj44444jjjj;
44jjjj4Rj44444jj4j;
44jj4jjRj4444jj4jj;
44jj4j4Rj4444jj44j;
4jj4jjjR4jj4jjjjjj;
4jj4jj4R4jj4jjjj4j;
4jj44jjR4jj4j4jjjj;
4jj44j4R4jj4j4jj4j;
44j4jjjR4j4j4jjjjj;
44j4jj4R4j4j4jjj4j;
44j44jjR4j4j44jjjj;
44j44j4R4j4j44jj4j;
4j4jjjjR4j4j4jj4jj;
4j4jjj4R4j4j4jj44j;
4j4j4jjR4j4j44j4jj;
4j4j4j4R4j4j44j44j;
444jjjjR4j4j4j4jjj;
444jjj4R4j4j4j4j4j;
444j4jjR4j4j444jjj;
444j4j4R4j4j444j4j;
4j44jjjR4j4j4j44jj;
4j44jj4R4j4j4j444j;
4j444jjR4j4j4444jj;
4j444j4R4j4j44444j;
4444jjjR444jjjjjjj;
4444jj4R444jjjjj4j;
44444jjR444jj4jjjj;
44444j4R444jj4jj44;
jjjjjjjR4444jjjjj4;
jjjjjj4R4444jjjj44;
jjjj44jRj4jj4jjjj4;
jjjj444Rj4jj4jjj4";
;R
b@.@d:4dU::c4d:U46sjRFklRMI(_F_s8LMCHoC_#M(0r:Rj9k_M(I8Fs_HLCM#o_CrM0(9:jR0L$CM_H8rCGn9:j;H
NRF3slL0ND"CRjjjjjRjjjjjjj4jj;j
jjjjj4jRjjj44j
j;jjjjjR4jjjjjjjjj;j
jj4jj4jRjjj4jj
j;jjjj4Rjj4j4jj4j4;j
jjjj44jRjjjjjj
j;jjjj4R4jj4444j4j;j
jj4j44jRjjjjjj
4;j4jjjRjjjjjjjjjj;j
jjj4j4jRjjjjj4
4;j4jjjR4jjjjj44jj;j
jj44j4jRjjjjjj
j;j4jj4Rjjjjjjjj4j;j
jjj444jRjjjjjj
j;j4jj4R4jjjjjj444;j
jj4444jRjjjjjj
j;jjj4jRjjjjjjjjjj;j
j4jjj4jRjjjjjj
j;jjj4jR4jjjjjjjj4;j
j44jj4jRjjjjjj
j;jjj44Rjjjjjjjjjj;j
j4jj44jRjj4j44
j;jjj44R4jjjjjj4jj;j
j44j44jRjjjjjj
j;j4j4jRjjjjjjjjjj;j
j4j4j4jRjjjjjj
4;j4j4jR4jjjjjjjjj;j
j444j4jRjjjjjj
j;j4j44Rjjjjjjj4jj;j
j4j444jRjjjjjj
j;j4j44R4jjjjjjjjj;j
j44444jRjjjjjj
4;jj4jjRjjjjjjjjjj;4
jjjjj4jRjjjjjj
j;jj4jjR4jjjjj4jj4;4
jj4jj4jRjjjj44
j;jj4j4Rjjjjjj4jj4;4
jjjj44jRjjjj44
j;jj4j4R4jjjjj4jj4;4
jj4j44jRjjjj44
j;j44jjRjjjj4j4jj4;4
jjj4j44Rjjj444
j;j44jjR4jjj4jj4j4;4
jj44j44Rjjjjj4
j;j44j4Rjjjjjjjjjj;4
jjj444jR4jjjjj
j;j44j4R4jjjjjjjjj;4
jj4444jRjjjjjj
j;jj44jRjj4jjjjjjj;4
j4jjj4jRjjjjjj
j;jj44jR4jjjjjjjjj;4
j44jj4jR4jjjjj
j;jj444Rjjjjjjjjjj;4
j4jj44jRj4jjj4
j;jj444R4jjjjjjjjj;4
j44j44jRjjj4jj
4;j444jRjjjjjjjjjj;4
j4j4j4jRjjjjjj
j;j444jR4jjjj4jjj4;4
j444j4jRjjjjjj
j;j4444Rjjj4jjj4jj;4
j4j444jRj4jjjj
j;j4444R4jjjjjjjjj;4
j44444jRjjjjjj
j;4jjjjRjjjjjjjjjj;j
4jjjj4jRjjjjj4
j;4jjjjR4jjjjjjjjj;j
4j4jj4jRjjjjjj
j;"b;
Rd@@.(:4U::(4:(U4#cR8sVVRDbD_D#O DRbDO_#Db RD#D_O_D oCCMsFN0sb\3D#D_O_D dbR#COO_DF _
RRRRkoMlC_s#_C0HN;
HsR30FD_sMHoNRlC"DbD_D#O
";b@R@d4.:U((::(4U:R4U#V8VsHR#D_D$NCD0s#MRH$DD_0NDCRsM#DHD$#\3H$DD_0NDC_sM.bR#COO_DF _
RRRRkoMlC_s#_C0HN;
HsR30FD_sMHoNRlC"D#HDN$_Ds0CM
";b@R@d..:nUn::n.n:8gRVRVsoDsN_OHMssRoNHD_MROs0CskRO08_ OD_kFRM#4_H$DD_0NDC;sM
RNH3Ds0_HFsolMNCoR"s_NDHsMO"b;
Rd@@.g:.46:4:4.g:R.(NPM8R0MG_DbD_HHM00_#_#j_JGlkNGRM0D_bDM_HH#0_0__j#kJlGbNRD#D_O
D RRRRb_DDH0MH_r#04
9;b@R@dd.:(::Ud.(:jCR8OCF8R4kM_0LH_8HMCjGr:946R4kM_0LH_8HMCjGr:946R0LH_8HMCdGr:;j9
@bR@:d.d:Uj.dc:U.j:6kRlGFRIsL8_CoHM_M#C09rjRsIF8C_LH_Mo#0CMrRj9k_M(I8Fs_HLCM#o_CrM0jR9
RkRRMIc_F_s8LMCHoC_#Mn0r9DRbDM_HH#0_09rd;R
b@.@d::d(U(:d:R.jHRMPk.M4_DbD_D#O 6r49MRk4b._D#D_OrD 4R69k_M4L_H0HCM8G6r49b;
Rd@@.U:djc:.:jdU:R.6lRkGI8Fs_HLCM#o_CrM0g9:4RsIF8C_LH_Mo#0CMr4g:9NRVD,#CV#NDCM,k(F_IsL8_CoHM_M#C0:r(4R9
RkRRMIc_F_s8LMCHoC_#M40r69:(RDbD_HHM00_#r;d9
@bR@:d..:U(UU:.(4:4RkblGDRbD#_O_bFRDOD_#R_F0Csk,DbD_HHM00_#rRc9V#NDCD,bDM_HH#0_09rd
RRRRDVN#bC,DHD_M_H0#.0r9sR0kbC,DHD_M_H0#40r9sR0kbC,DHD_M_H0#j0r9b;
Rd@@.c:dnc:4:ndc:R.jHRMPHCM8GF_OMF0sDk\3Mbg_D#D_ORD HCM8GF_OMF0sDk\3Mbg_D#D_O
D RRRRb_DDOF#_;R
b@.@d:gd6::46d:6gdNjRMR8PLC$0_8HMCjG__l#JkRGNLC$0_8HMCjG__l#JkRGNM_G0b_DDH0MH__#0jJ_#lNkG
RRRR4kM_0L$CM_H8rCGnRd9k_M4LC$0_8HMCnGr.k9RML4_$_0CHCM8G4rn9MRk4$_L0HC_MG8Cr9nj
RRRR4kM_0L$CM_H8rCG6Rg9k_M4LC$0_8HMC6GrUk9RML4_$_0CHCM8G(r69b;
Rd@@.(:dU(:.:Ud(:Rc4FRsPk_M4L_H0HCM8GR_4k_M4L_H0HCM8GR_4k_M4L_H0HCM8G9r6R4kM_0LH_8HMCcGr9R
RRMRk4H_L0M_H8rCGdk9RML4_HH0_MG8CrR.9k_M4L_H0HCM8G9r4R4kM_0LH_8HMCjGr9b;
Rd@@.c:dUc:4:Udc:R.nFRsPHCM8GF_OMF0sDk\3Mb(_D#D_ORD HCM8GF_OMF0sDk\3Mb(_D#D_O
D RRRRb_DD# ODRDbD__O#Fb;
Rd@@.6:d.4:d:.d6:Rc.NR88k_M4L_H0HCM8Gr_.j9:dR4kM_0LH_8HMC.G_rdj:9HRL0M_H8rCGd9:j
RRRRDbD_D#O D,bDO_#Db ,D#D_O,D b_DD# OD;R
b@.@d:Udc::4cd:cU.HnRMkPRMb4_D#D_ORD k_M4b_DD# ODR8HMCOG_FsM0F3D\k_M(b_DD# OD;R
b@.@d:Udc::4cd:cU.NnRMR8PHCM8GF_OMF0sDk\3MbU_D#D_ORD HCM8GF_OMF0sDk\3MbU_D#D_O
D RRRRb_DD# ODR8HMCOG_FsM0F3D\k_Mgb_DD# OD;R
b@.@d:.dn::d4d:n.cNdR8k8RML4_$_0CHCM8G:rjnk9RML4_$_0CHCM8G:rjnL9R$_0CHCM8G:rnjR9
RMRRGb0_DHD_M_H0#j0__l#Jk,GNM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNG,M0D_bDM_HH#0_0__j#kJlGMN,Gb0_DHD_M_H0#j0__l#Jk,GNM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNb;
Rd@@.c:dg6:4:gdc:R.gNPM8R0LH_8HMCjG__l#JkRGNL_H0HCM8G__j#kJlGHNRMG8C_MOF0DsF\M3kUD_bDO_#DR
RkRRML4_HH0_MG8Cr946;R
b@.@d:gdc::46d:cg.NgRMR8PL_H0HCM8G__4#kJlGLNRHH0_MG8C_#4_JGlkNMRH8_CGO0FMs\FD3UkM_DbD_D#O R
RRMRk4b._D#D_OrD 4;69
@bR@:d.d:6nU6:dnR:glRkGLC$0_8HMC6G_r.6:9$RL0HC_MG8C_66r:R.9k_M4LC$0_8HMC4Gr:
c9RRRRV#NDCN,VD,#CV#NDCN,VDR#CLC$0_8HMCjG__l#Jk;GN
@bR@:d.d:ccUc:dcR:gFRsPk_M4L_H0HCM8G__4#kJlGkNRML4_HH0_MG8C_#4_JGlkNHRL0M_H8_CG4J_#lNkG
RRRR4kM_DbD_D#O b;
Rd@@.(:dU(:.:Ud(:Rc4bGlkRDbD_H#8_bFRD#D_8FH_RsIF8C_LH_Mo#0CMr,j9k_M4L_H0HCM8G6r49R
RRFRIsL8_CoHM_M#C09r4,4kM_0LH_8HMC4GrcI9RF_s8LMCHoC_#M.0r9M,k4H_L0M_H8rCG4
d9RRRRI8Fs_HLCM#o_CrM0dk9,ML4_HH0_MG8Cr94.RsIF8C_LH_Mo#0CMr,c9k_M4L_H0HCM8G4r49R
RRFRIsL8_CoHM_M#C09r6,4kM_0LH_8HMC4GrjI9RF_s8LMCHoC_#Mn0r9M,k4H_L0M_H8rCGgR9
RIRRF_s8LMCHoC_#M(0r9M,k4H_L0M_H8rCGUI9RF_s8LMCHoC_#MU0r9M,k4H_L0M_H8rCG(R9
RIRRF_s8LMCHoC_#Mg0r9M,k4H_L0M_H8rCGnV9RNCD#,4kM_0LH_8HMC4G_;H
NRM3H8lCGk4GR;R
b@.@d:64U:4(:U46:n8R#VNVb0LsR$_0CHCM8G:rnjL9R$_0CHCM8G:rnjk9RML4_$_0CHCM8G9rj,0L$CM_H8_CG6:r6.k9,ML4_$_0CHCM8G:r6nR9
R#RRb_COO_D FMRoksl_C0#C_
H;N3HRsCC#00bNRG"GGGGGG
";N3HRsCC#00bNRj"4j4jj4
";N3HRs_0DFosHMCNlR$"L0HC_MG8C"b;
Rd@@.c:dc::Ud:ccglRbkHGRMG8C_MOF0DsF\H3L0M_H8_CGn:rdjH9RMG8C_MOF0DsF\H3L0M_H8_CGn:rdjR9
R0RRs,kC0Csk,k0sCs,0kbC,DOD_#R_FV#NDCs,0k0C,s,kC0Csk,0LH_8HMCjG__l#Jk
GNRRRRk_M4L_H0HCM8Gr_.j9:d,4kM_0LH_8HMC4G__l#Jk;GN
@bR@:d.4:Uc(U:4c6:4RV#8VL#RHH0_MG8Crjd:9HRL0M_H8rCGd9:jR8HMCOG_FsM0F3D\L_H0HCM8Gr_nd9:j
RRRRC#bOD_O R_FolMk_#sCCH0_;H
NR03sDs_FHNoMl"CRL_H0HCM8G
";y--------------------------------
--@
_FS8CM_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kCV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD
#CSOHMss=oNHD_M
OsS#sCCo0=M_klsCC#0
_HSkOFM80_F=MCH_MPsCC#0O
SkCssMP0_NCDkr:d4jk9=Mo4_CsMCNbD_F#sCCd0r49:j;R
b@.@d::d(U(:d:R.jLRkVNlON_VsCO_D FORNNsl_CDVO R_FNlON_VsCO_D Hb;
Rd@@.6:.g6:d:g.6:R6jHRMP#DHD$#\3H$DD_0NDC_sM.HR#D\D$3D#HDN$_Ds0CMR_.#DHD$D_N0MCs;R
b@.@d:n.n:.U:ngn:RPHMR4kM_D#HDN$_Ds0CMMRk4H_#D_D$NCD0s#MRH$DD_0NDC;sM
@bR@:d..:U6..g:Ud6:6MRHPMRk4$_L0HC_MG8Cr:6(nRd9k_M4LC$0_8HMC6Gr(d:n9$RL0HC_MG8Crjn:9b;
Rd@@.(:dd4:d:dd(:Rc.HRMPb_DD# OD_MoCC0sNF3s\b_DD# OD_bdRD#D_O_D oCCMsFN0sb\3D#D_O_D dR
RRDRbDO_#D
;b@R@d6.:6.j:(6:6jg:dRPHMRMoCCDsN_#sCCF0_RMoCCDsN_#sCCF0_RPHM_#sCC
0;b@R@j::44::4.sR0k0CRsRkC0Csk;R
b@:@j4::44R:.V#NDCNRVDR#CV#NDCb;
Rd@@.(:d:dU:(j:.RR8Ob_DD8_NO#O$M_bFRD8D_N#O_$_MOF#;
Rd@@.n:4(::(4:n(4b(RDHD_M_H0#j0r:Rc9y0R#NR0ClENOH
MC3N#00RC#jjjj4jRjjR4jjjj4j4RjjRjj4jjjj#
30CN0_VHMF@R@d..:UUU::U.U:R.jjjjj40R#N
s03N#00HC_MRVF@.@d:(.g:.U:gd(:cjRjjR4j#8CMH_MoH0M#s0kOH
FM3N#00HC_MRVF@.@d:(dj:dU:j.(:(jRj4Rjj#8CMH_Mo8NN0
03#N_0CHFMVRd@@.4:d(::Ud:4(4jgR4jjjR#sC0#
30CN0_VHMF@R@dd.:dUj::jdd:R4g4jjjjFR8M3C
HkMb0lMNCL#R$_0CHCM8G9rjR0L$CM_H8rCG4L9R$_0CHCM8G9r.R0L$CM_H8rCGdL9R$_0CHCM8G9rcR0L$CM_H8rCG639
HkMb0lMNCL#R$_0CHCM8G9rnR0LH_8HMCjGr9HRL0M_H8rCG4L9RHH0_MG8CrR.9L_H0HCM8G9rdRkoMlC_s#_C0HH
3M0bkMCNl#DRbDO_#D3
OODF bR#COO_DF _R#sHH_MoCC8o
-----------j4-RjjjjRj4jj
jRjjjjj-jj-j--44RjjRjj4jjjj-R
---------j-jRjj4jjjR4jjjR-
------jjjjRj4jjj4j4RjjRjj
--------4--jj-Rjj4jR4jjj
jR---------j4--jRj4Rjjjjj4j-R
-----4-----jR4jjjjjRjj4jR-
-------4--Rj-jjj4jjRj4Rjj
-----------jjjRjj4jR4jjj
jR-----j--jjjj4jRjjR4jjjj4j-R
------4--4-jRjj4jjjRjjj4R-
--4-------Rj4jj4jjjRjjR4j
-----4-----jj4R4jjjRjjj4
jR-4-------j--44RjjRjjj4jjj-R
--4------4-jRjj4jjjRjjj4R4
----------Rj4jj4jjjRjjR4j
-4---------jj4R4jjjRjjj4
jR---------j-4-jRjjR4jj4jjj-R
--------4--jRjjj4jjRjjj4R-
--------4-Rj-j4jjjjRjjR4j
-------4---jj-Rjjj4Rjjj4
jR---------j--jjRjjR4jj4jjj-R
---------4-jRjjjjj4Rjjj4R-
----------R4-4jjjjjRjjRj4
-----------4j-R4jjjRjjjj
4R---------4---jRj4Rjjjjjj4-R
-----------4Rjjj4jjRj4jjR-
----------R4-jjjj4jRjjRj4
------------jjRj4jjRjjjj
4R;RRyCRM8F#VR0CN0ROlNECHM
RNH3Ds0CF_0R
4;N#HR$VM_#Hl_8dR".(4n((4n4
";N3HRs_0DFosHMCNlRD"bDM_HH#0_0
";b@R@dd.:U.j:(U:djd:dRlsFRckM_sIF8C_LH_Mo#0CMr:46nk9RMIc_F_s8LMCHoC_#M40r69:nR0L$CM_H8rCGn9:j;H
NRF3slL0ND"CRjjjjjRjj4jjj4j4j4
j;jjjjjRj4jjjjjjjjj
j;jjjjjR4jjjjjjjjjj
4;jjjjjR44jjjjjjjj4
j;jjjj4Rjjjjjjjjjj4
4;jjjj4Rj4jjjjj4jjj
j;jjjj4R4jjjjjjj4jj
j;jjjj4R44jjjjjj4jj
4;j4jjjRjjjjjjjj4j4
j;j4jjjRj4jjjjjj4j4
4;j4jjjR4jjjjjj44jj
j;j4jjjR44jjjjj44jj
4;j4jj4Rjjjjjjj44j4
j;j4jj4Rj4jjjjj44j4
4;j4jj4R4jjjjjjj44j
j;j4jj4R44jjjjjj44j
4;jjj4jRjjjjjjjj444
j;jjj4jRj4jjjjjj444
4;jjj4jR4jjjjjj444j
j;jjj4jR44jjjjj444j
4;jjj44Rjjjjjjj4444
j;jjj44Rj4jjjjj4444
4;jjj44R4jjjj44jjjj
j;jjj44R44jjj44jjjj
4;j4j4jRjjjjj44jjj4
j;j4j4jRj4jjj44jjj4
4;j4j4jR4jjjj444jjj
j;j4j4jR44jjj444jjj
4;j4j44Rjjjjj444jj4
j;j4j44Rj4jjj444jj4
4;j4j44R4jjjj44jj4j
j;j4j44R44jjj44jj4j
4;jj4jjRjjjjj44jj44
j;jj4jjRj4jjj44jj44
4;jj4jjR4jj4j44j4jj
j;jj4jjR44j4j44j4jj
4;jj4j4Rjjj4j44j4j4
j;jj4j4Rj4j4j44j4j4
4;jj4j4R4jj4j4444jj
j;jj4j4R44j4j4444jj
4;j44jjRjjj44jjjjjj
j;j44jjRj4j44jjjjjj
4;j44jjR4jj44jjjjj4
j;j44jjR44j44jjjjj4
4;j44j4Rjjjj44jj4jj
j;j44j4Rj4jj44jj4jj
4;j44j4R4jjj44jj4j4
j;j44j4R44jj44jj4j4
4;jj44jRjjjj44j44jj
j;jj44jRj4jj44j44jj
4;jj44jR4jjj44j44j4
j;jj44jR44jj44j44j4
4;jj444Rjjjj44jj44j
j;jj444Rj4jj44jj44j
4;jj444R4jjj44jj444
j;jj444R44jj44jj444
4;j444jRjjjj44j444j
j;j444jRj4jj44j444j
4;j444jR4jjj44j4444
j;j444jR44jj44j4444
4;j4444Rjjjj444jjjj
j;j4444Rj4jj444jjjj
4;j4444R4jjj444jjj4
j;j4444R44jj444jjj4
4;4jjjjRjjj4444jjjj
j;4jjjjRj4j4444jjjj
4;4jjjjR4j4jjj4j4jj
j;4jjjjR444jjj4j4jj
4;"b;
Rd@@.U:d44:c:4dU:R6jsRFlk_M(I8Fs_HLCM#o_CrM0(9:jR(kM_sIF8C_LH_Mo#0CMrj(:9$RL0HC_MG8Crjn:9N;
HsR3FNl0LRDC"jjjjjjjRjjjjjjj4j;
jjjjjj4Rj4j4j;jj
jjjjjj4Rjjjjjjjjj;
jjjj4j4Rjjj4j;jj
jjjjj4jRj44j4jj4j;
j4jjjj4Rjjjjj;jj
jjjjj44R4j44j44jj;
j4jj4j4Rjjjjj;j4
jjj4jjjRjjjjjjjjj;
jjj4jj4Rjjjjj;44
jjj4jj4Rjjjjj4j4j;
jjj44j4Rjjjjj;jj
jjj4j4jRjjjjjj4jj;
j4j4jj4Rjjjjj;jj
jjj4j44Rjjjj4j44j;
j4j44j4Rjjjjj;jj
4jjjjjjRjjjjjjjjj;
jj4jjj4Rjjjjj;jj
4jjjjj4Rjjjj4jjjj;
jj4j4j4Rjjjjj;jj
4jjjj4jRjjjjjjjjj;
j44jjj4Rj4jj4;4j
4jjjj44Rjjjjjjj4j;
j44j4j4Rjjjjj;jj
4jj4jjjRjjjjjjjjj;
jj44jj4Rjjjjj;j4
4jj4jj4Rjjjjjjjjj;
jj444j4Rjjjjj;jj
4jj4j4jRjjjjjjj4j;
j444jj4Rjjjjj;jj
4jj4j44Rjjjjjjjjj;
j4444j4Rjjjjj;j4
jj4jjjjRjjjjjjjjj;
4jjjjj4Rjjjjj;jj
jj4jjj4Rjjjj44jjj;
4jjj4j4Rj4jjj;4j
jj4jj4jRjjjj44jjj;
44jjjj4Rj4jjj;4j
jj4jj44Rjjjj44jjj;
44jj4j4Rj4jjj;4j
jj44jjjRjj4j44jjj;
4jj4jj4R44j4j;4j
jj44jj4Rjj4j4jj4j;
4jj44j4R4jjjj;4j
jj44j4jRjjjjjjjjj;
44j4j44Rjjjjj;jj
jj44j44Rjjjjjjjjj;
44j44j4Rjjjjj;jj
4j4jjjjRj4jjjjjjj;
4j4jjj4Rjjjjj;jj
4j4jjj4Rjjjjjjjjj;
4j4j444Rjjjjj;jj
4j4jj4jRjjjjjjjjj;
444jjj4Rjj4jj;4j
4j4jj44Rjjjjjjjjj;
444j4j4Rjjj4j;j4
4j44jjjRjjjjjjjjj;
4j44jj4Rjjjjj;jj
4j44jj4R4jjj4jjjj;
4j444j4Rjjjjj;jj
4j44j4jRjjj4jjj4j;
4444jj4Rjj4jj;jj
4j44j44Rjjjjjjjjj;
44444j4Rjjjjj;jj
j4jjjjjRjjjjjjjj4;
jjjjjj4Rjjjjj;4j
j4jjjj4Rjjjjjjjj4;
jjjj4j4Rjjjjj;jj
";b@R@d4.:((U::U4(:R4c#V8VsDRbDO_#Db RD#D_ORD b_DD# OD_MoCC0sNF3s\b_DD# OD_#dRb_COO_D FR
RRMRoksl_C0#C_
H;N3HRs_0DFosHMCNlRD"bDO_#D; "
@bR@:d.4:U((U:4(U:4RV#8V#sRH$DD_0NDCRsM#DHD$D_N0MCsRD#HD3$\#DHD$D_N0MCs_#.Rb_COO_D FR
RRMRoksl_C0#C_
H;N3HRs_0DFosHMCNlRH"#D_D$NCD0s;M"
@bR@:d..:nnUn:.nR:g8sVVRNosDM_HOosRs_NDHsMORk0sC8R0OD_O R_Fk_M4#DHD$D_N0MCs;H
NR03sDs_FHNoMl"CRoDsN_OHMs
";b@R@d..:g44:6g:.4(:.R8NMPGRM0D_bDM_HH#0_0__j#kJlGMNRGb0_DHD_M_H0#j0__l#JkRGNb_DD# OD
RRRRDbD_HHM00_#r;49
@bR@:d.dU(:::d(.8jRC8OFCMRk4H_L0M_H8rCGj6:49MRk4H_L0M_H8rCGj6:49HRL0M_H8rCGd9:j;R
b@.@d:jdU::.cd:Uj.l6RkIGRF_s8LMCHoC_#Mj0r9FRIsL8_CoHM_M#C09rjR(kM_sIF8C_LH_Mo#0CMr
j9RRRRk_McI8Fs_HLCM#o_CrM0nb9RDHD_M_H0#d0r9b;
Rd@@.(:d:dU:(j:.RPHMR4kM.D_bDO_#D4 r6k9RM_4.b_DD# ODr946R4kM_0LH_8HMC4Gr6
9;b@R@dd.:U.j:cU:dj6:.RGlkRsIF8C_LH_Mo#0CMr4g:9FRIsL8_CoHM_M#C0:rg4V9RNCD#,DVN#kC,MI(_F_s8LMCHoC_#M(0r:
49RRRRk_McI8Fs_HLCM#o_CrM04(6:9DRbDM_HH#0_09rd;R
b@.@d:(.U:.U:U4(:4lRbkbGRDOD_#R_Fb_DDOF#_Rk0sCD,bDM_HH#0_09rcRDVN#bC,DHD_M_H0#d0r9R
RRNRVD,#Cb_DDH0MH_r#0.09Rs,kCb_DDH0MH_r#0409Rs,kCb_DDH0MH_r#0j
9;b@R@dd.:c4n:cc:dnj:.RPHMR8HMCOG_FsM0F3D\k_Mgb_DD# ODR8HMCOG_FsM0F3D\k_Mgb_DD# OD
RRRRDbD__O#Fb;
Rd@@.6:dg6:4:gd6:RdjNPM8R0L$CM_H8_CGjJ_#lNkGR0L$CM_H8_CGjJ_#lNkGR0MG_DbD_HHM00_#_#j_JGlkNR
RRMRk4$_L0HC_MG8Cr9ndR4kM_0L$CM_H8rCGnR.9k_M4LC$0_8HMCnGr4k9RML4_$_0CHCM8Gjrn9R
RRMRk4$_L0HC_MG8Cr96gR4kM_0L$CM_H8rCG6RU9k_M4LC$0_8HMC6Gr(
9;b@R@dd.:(.U:((:dU4:cRPFsR4kM_0LH_8HMC4G_R4kM_0LH_8HMC4G_R4kM_0LH_8HMC6Gr9MRk4H_L0M_H8rCGcR9
RkRRML4_HH0_MG8CrRd9k_M4L_H0HCM8G9r.R4kM_0LH_8HMC4Gr9MRk4H_L0M_H8rCGj
9;b@R@dd.:c4U:cc:dUn:.RPFsR8HMCOG_FsM0F3D\k_M(b_DD# ODR8HMCOG_FsM0F3D\k_M(b_DD# OD
RRRRDbD_D#O DRbD#_O_
F;b@R@dd.:6d.:46:d..:cR8N8R4kM_0LH_8HMC.G_rdj:9MRk4H_L0M_H8_CG.:rjdL9RHH0_MG8Crjd:9R
RRDRbDO_#Db ,D#D_O,D b_DD# OD,DbD_D#O b;
Rd@@.c:dUc:4:Udc:R.nHRMPk_M4b_DD# ODR4kM_DbD_D#O MRH8_CGO0FMs\FD3(kM_DbD_D#O b;
Rd@@.c:dUc:4:Udc:R.nNPM8R8HMCOG_FsM0F3D\k_MUb_DD# ODR8HMCOG_FsM0F3D\k_MUb_DD# OD
RRRRDbD_D#O MRH8_CGO0FMs\FD3gkM_DbD_D#O b;
Rd@@.n:d.4:d:.dn:RcdNR88k_M4LC$0_8HMCjGr:Rn9k_M4LC$0_8HMCjGr:Rn9LC$0_8HMCnGr:
j9RRRRM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNG,M0D_bDM_HH#0_0__j#kJlGMN,Gb0_DHD_M_H0#j0__l#Jk,GNM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNG,M0D_bDM_HH#0_0__j#kJlG
N;b@R@dd.:c4g:6c:dgg:.R8NMPHRL0M_H8_CGjJ_#lNkGR0LH_8HMCjG__l#JkRGNHCM8GF_OMF0sDk\3MbU_D#D_O
D RRRRk_M4L_H0HCM8G6r49b;
Rd@@.c:dg6:4:gdc:R.gNPM8R0LH_8HMC4G__l#JkRGNL_H0HCM8G__4#kJlGHNRMG8C_MOF0DsF\M3kUD_bDO_#DR
RkRRM_4.b_DD# ODr946;R
b@.@d:nd6:dU:6gn:RGlkR0L$CM_H8_CG6:r6.L9R$_0CHCM8Gr_669:.R4kM_0L$CM_H8rCG49:c
RRRRDVN#VC,NCD#,DVN#VC,NCD#R0L$CM_H8_CGjJ_#lNkG;R
b@.@d:cdc:dU:cgc:RPFsR4kM_0LH_8HMC4G__l#JkRGNk_M4L_H0HCM8G__4#kJlGLNRHH0_MG8C_#4_JGlkNR
RRMRk4D_bDO_#D
;b@R@dd.:(.U:((:dU4:cRkblGDRbD8_#HR_Fb_DD#_8HFFRIsL8_CoHM_M#C09rj,4kM_0LH_8HMC4Gr6R9
RIRRF_s8LMCHoC_#M40r9M,k4H_L0M_H8rCG4Rc9I8Fs_HLCM#o_CrM0.k9,ML4_HH0_MG8Cr94d
RRRRsIF8C_LH_Mo#0CMr,d9k_M4L_H0HCM8G.r49FRIsL8_CoHM_M#C09rc,4kM_0LH_8HMC4Gr4R9
RIRRF_s8LMCHoC_#M60r9M,k4H_L0M_H8rCG4Rj9I8Fs_HLCM#o_CrM0nk9,ML4_HH0_MG8Cr
g9RRRRI8Fs_HLCM#o_CrM0(k9,ML4_HH0_MG8CrRU9I8Fs_HLCM#o_CrM0Uk9,ML4_HH0_MG8Cr
(9RRRRI8Fs_HLCM#o_CrM0gk9,ML4_HH0_MG8CrRn9V#NDCM,k4H_L0M_H8_CG4N;
HHR3MG8ClRkG4b;
Rd@@.U:46::(4:U64#nR8bVVNR0sLC$0_8HMCnGr:Rj9LC$0_8HMCnGr:Rj9k_M4LC$0_8HMCjGr9$,L0HC_MG8C_66r:,.9k_M4LC$0_8HMC6Gr:
n9RRRR#ObC_ OD_oFRM_klsCC#0;_H
RNH3#sCCN0b0GR"GGGGG;G"
RNH3#sCCN0b04R"jjjj4;4"
RNH3Ds0_HFsolMNCLR"$_0CHCM8G
";b@R@dd.:cUc::cdc:bgRlRkGHCM8GF_OMF0sDL\3HH0_MG8C_dnr:Rj9HCM8GF_OMF0sDL\3HH0_MG8C_dnr:
j9RRRR0Csk,k0sCs,0k0C,s,kCb_DDOF#_RDVN#0C,s,kC0Csk,k0sCH,L0M_H8_CGjJ_#lNkG
RRRR4kM_0LH_8HMC.G_rdj:9M,k4H_L0M_H8_CG4J_#lNkG;R
b@.@d:c4U:4(:U4c:68R#VRV#L_H0HCM8G:rdjL9RHH0_MG8Crjd:9MRH8_CGO0FMs\FD30LH_8HMCnG_rjd:9R
RRbR#COO_DF _RkoMlC_s#_C0HN;
HsR30FD_sMHoNRlC"0LH_8HMC;G"
-y---------------------------------
@
ftell;
@E@MR@:4U4(6:::464IURFRs VCsC_kOFMs0CRDs0;P
NR#3HPDE8R
......@@ -997,73 +996,71 @@ bS#COO_DH _=C#bOD_O
_HSO08_ OD_Hb_=O08_ OD_Hb_
8S0OD_O __MH8=0OD_O __MHN
SO_NlsOCVDF _=NNOlC_sV OD
CSoMNCsDC_s#_C0FC=oMNCsDC_s#
C0SDbD__O#FD=bD#_O
DSbDN_8O$_#MFO_=DbD_O8N_M#$O
_FSDbD_H#8_bF=D#D_8SH
b_DD# OD_bF=D#D_O
D SC#bOD_O =_F#ObC_ OD
CSoMNCsDC_s#_C0Fb=#CNO_k_GcFb
SDOD_#=_Fb_DDOS#
b_DD8_NO#O$M_bF=D8D_N#O_$_MOFb
SD#D_8FH_=DbD_H#8
DSbDO_#DF _=DbD_D#O
_FSC#bOD_O =_F#ObC_ OD
8S0OD_O =_FO;D
@sR@:dn.:(.c(:..U:4RsIF sRVCOC_F0kMCssR00DR8DO_CO8_F0kMCSs
O=D O
D SNCML=DC0Csk
CSs#=C0oCCMs_NDsCC#0#
S00Ns_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,k0sCs,0k0C,s,kCV#NDCs,0k0C,s,kC0Csk,DVN#VC,NCD#,k0sCs,0kVC,NCD#,k0sCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,k0sCN,VD,#CV#NDCN,VD,#CV#NDCN,VD
#CSkOFM80_F=MC0_8OD_C8OMFk0F_8MSC
OsksC_M0PkNDC4rd:=j9k_M40_8OD_C8OMFk0rCsdj4:9s;
Rd@@nU:.n::c.:Un.IdRFRs VCsC_kOFMs0CRDs0RC#bOC_D8C_s8F_OkCM0sO
SD# =b_COO
D SNCML=DC0Csk
CSs#=C0#ObC_GNk6
_FSN#0sP0_NCDkr:d4jV9=NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,k0sCN,VD,#CV#NDCs,0k0C,s,kCV#NDCN,VD,#CV#NDCs,0kVC,NCD#,DVN#0C,s,kCV#NDCs,0k0C,s,kCV#NDCs,0kVC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#SC
OMFk0F_8M#C=b_COD_C8OMFk0F_8MSC
OsksC_M0PkNDC4rd:=j9k_M4#ObC_8DC_8sC_kOFMs0Cr:d4j
9;b@R@dnn:c::Un4c:6kRLVbR#CDO_Co8_sMCC_#FRb_COD_C8oCsCMR_Fb_DDDH8_;R
b@n@d:c.n::d4.:ncdLnRkbVRDOD_#R_Fb_DDOF#_RDbD_;O#
@bR@:dn.:ncd.4:ndc:nkRLVbR#CNO_k_GcFbR#CNO_k_GcFDRbD#_O;R
b@n@d:n.n::d4.:nndL(RkbVRD#D_8FH_RDbD_H#8_bFRD#D_8
H;b@R@d.n:ndn:4n:.n(:dRVLkRC#bOk_NGFd_RC#bOk_NGFd_RDbD_H#8;R
b@n@d:(.n::d4.:n(dLURkbVRD#D_O_D FDRbDO_#DF _RDbD_D#O b;
Rd@@nn:.(4:d:(.n:RdULRkV#ObC_GNk.R_F#ObC_GNk.R_Fb_DD# OD;R
b@n@d:6dj::d6d:j66H.RM0PR8DO_C38\0_8OD_C8#00Nk.#_RO08_8DC\830OC_D80_#N#0k_0.R8DO_C#8_0kN0#b;
Rd@@n4:d66:d:6d4:R6jHRMP#ObC_8DC\b3#CDO_Cs8_C48_RC#bOC_D8#\3b_COD_C8s_C84bR#CDO_Cs8_C
8;b@R@ddn:.6d:j.:ddc:nRPHMRdkM_koMlC_s#RC0k_MdolMk_#sCC#0Rb_CON4kG_
H;b@R@ddn:.dd:..:ddc:cRPHMR6kM_koMlC_s#RC0k_M6olMk_#sCCs0R#M0__HN_;R
b@n@d:ddc::d4d:cdcH6RM0PR8DO_C08_sdHo_4F_RO08_8DC_H0soFd__#4Rb_CONjkG_
H;b@R@j::44::4.sR0k0CRsRkC0Csk;R
b@:@j4::44R:.V#NDCNRVDR#CV#NDCb;
Rj@@:44::.4:RR8O88OROb;
Rd@@nn:d:dU:nn:4RR8ONlON_sCsVoDN_NbRO_NlCVssD_Nobb;
Rd@@nj:4j::U4:jj.8.RV0VR8DO_C08_s4Ho_0FR8DO_C08_s4Ho_#FRb_CONjkG_#HRb_COO;D
RNH3Ds0_HFsolMNC0R"8DO_C08_s4Ho_;F"
@bR@:dn4:j4Uj:44.:.RV8VRO08_8DC_H0soF._RO08_8DC_H0soF._RC#bOk_NGHj_R OD;H
NR03sDs_FHNoMl"CR0_8OD_C80osH."_F;R
b@n@d:ddc::d4d:cdcL6Rk0VR8DO_C08_sdHo_0FR8DO_C08_sdHo_0FR8DO_C08_sdHo_4F_;R
b@n@d:ddc::d4d:cdcL6Rk0VR8DO_C08_scHo_0FR8DO_C08_scHo_0FR8DO_C08_sdHo_4F_;R
b@n@d:ddc::d4d:cdcL6Rk0VR8DO_C08_s6Ho_0FR8DO_C08_s6Ho_0FR8DO_C08_sdHo_4F_;R
b@:@j4::44R:.LRkV0lCs__CM4R_F0lCs__CM4R_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CM.R_F0lCs__CM.R_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CMdR_F0lCs__CMdR_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CMcR_F0lCs__CMcR_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CM6R_F0lCs__CM6R_F0Csk;R
b@n@d::dnUn:d:R4nLRkVOM#__OFR#__MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVH_sJbR_FH_sJbR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbD_O __MF.RDbD_O __MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bO_D bR_FD_.bO_D bR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbV_8sCNl_DFR.8b_VlsNCR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDb8_CLR_FD_.bC_8LFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bPHND8R_FD_.bPHND8R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVCRF_FM_R_FCMR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RbD8_s$R_Fb_.Ds_8$FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVsM8__sFR8__MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVsCG_sssF_sFRGs_Cs_FsFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#sbNCR_F#sbNCR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV0R#N_s08_H#F0R#N_s08_H#FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#s0N0s_VFVl_b_oNF0R#N_s0VlsF_oVbNR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV0R#F8b_HF#_RF#0bH_8#R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVsRI_FM_R_IsMR_FNlON_sCsVoDN_
b;b@R@d4n:U(g::g4U:R.j#V8Vs0CR8DO_C#8_0kN0#8R0OC_D80_#N#0kRO08_8DC\830OC_D80_#N#0k_R.
RORRDo RCsMCNsD_C0#CRO08_8DC_kOFM80_F;MC
CSs#=C0#ObC_GNkc
_FSN#0sP0_NCDkr:d4jV9=NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kC0Csk,k0sCN,VD,#C0Csk,k0sCs,0kVC,NCD#,DVN#0C,s,kC0Csk,DVN#0C,s,kCV#NDCs,0k0C,s,kCV#NDCN,VD,#C0Csk,DVN#0C,s,kCV#NDCN,VD,#CV#NDCN,VD,#CV#NDCO
SF0kM_M8FC8=0OC_D8F_Ok_M08CFM
kSOsMsC0N_PDrkCdj4:9M=k48_0OC_D8F_OkCM0s4rd:;j9
@sR@:dn.:UncU:.nd:.RsIF sRVCOC_F0kMCssR0#DRb_COD_C8s_C8OMFk0
CsS OD=C#bOD_O C
SMDNLCs=0kSC
sCC#0b=#CNO_k_G6F#
S00Ns_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kCV#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCN,VD,#C0Csk,DVN#VC,NCD#,k0sCN,VD,#C0Csk,k0sCN,VD,#C0Csk,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#
FSOk_M08CFM=C#bOC_D8F_Ok_M08CFM
kSOsMsC0N_PDrkCdj4:9M=k4b_#CDO_Cs8_CO8_F0kMCdsr49:j;R
b@n@d::ncUc:n:R46LRkV#ObC_8DC_CosCFM_RC#bOC_D8s_oC_CMFDRbD8_D_
H;b@R@d.n:ndc:4n:.cn:dRVLkRDbD__O#FDRbD#_O_bFRDOD_#b;
Rd@@nn:.c4:d:c.n:RdnLRkV#ObC_GNkdR_F#ObC_GNkdR_Fb_DDO
#;b@R@d.n:ndn:4n:.n(:dRVLkRDbD_H#8_bFRD#D_8FH_RDbD_H#8;R
b@n@d:n.n::d4.:nndL(Rk#VRb_CON.kG_#FRb_CON.kG_bFRD#D_8
H;b@R@ddn:jd6:6j:d6.:6RPHMRO08_8DC\830OC_D80_#N#0k_0.R8DO_C38\0_8OD_C8#00Nk.#_RO08_8DC_N#00;k#
@bR@:dnd:46dd6:466:jMRHPbR#CDO_C38\#ObC_8DC_8sC_#4Rb_COD\C83C#bOC_D8C_s8R_4#ObC_8DC_8sC;R
b@n@d:dd.::6jd:.dnHcRMkPRMod_M_klsCC#0MRkdM_oksl_C0#CRC#bOk_NGH4_;R
b@n@d:dd.::d.d:.dcHcRMkPRMo6_M_klsCC#0MRk6M_oksl_C0#CR0s#_NM__
H;b@R@ddn:cdd:4c:dd6:cRPHMRO08_8DC_H0soFd__04R8DO_C08_sdHo_4F_RC#bOk_NGHj_;R
b@:@j4::44R:.0CskRk0sCsR0k
C;b@R@j::44::4.NRVDR#CV#NDCNRVD;#C
@bR@4j::44::8.ROOR8R;8O
@bR@:dndUn:::dn48nROORNNCl_sDsVNbo_RNNOls_CsNVDo;_b
@bR@:dn4:jjUj:4j.:.RV8VRO08_8DC_H0soF4_RO08_8DC_H0soF4_RC#bOk_NGHj_RC#bOD_O N;
HsR30FD_sMHoNRlC"O08_8DC_H0soF4_"b;
Rd@@nj:44::U4:j4.8.RV0VR8DO_C08_s.Ho_0FR8DO_C08_s.Ho_#FRb_CONjkG_OHRD
;N3HRs_0DFosHMCNlR8"0OC_D8s_0H_o.F
";b@R@ddn:cdd:4c:dd6:cRVLkRO08_8DC_H0soFd_RO08_8DC_H0soFd_RO08_8DC_H0soFd__
4;b@R@ddn:cdd:4c:dd6:cRVLkRO08_8DC_H0soFc_RO08_8DC_H0soFc_RO08_8DC_H0soFd__
4;b@R@ddn:cdd:4c:dd6:cRVLkRO08_8DC_H0soF6_RO08_8DC_H0soF6_RO08_8DC_H0soFd__
4;b@R@j::44::4.kRLVCR0sCl_M__4FCR0sCl_M__4FsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__.FCR0sCl_M__.FsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__dFCR0sCl_M__dFsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__cFCR0sCl_M__cFsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__6FCR0sCl_M__6FsR0k
C;b@R@ddn:n::Ud4n:nkRLV#RO_FM_R_O#MR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVsRHJ__bFsRHJ__bFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bO_D MR_FD_.bO_D MR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbD_O __bF.RDbD_O __bFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.b8NVslFC_RbD._s8VN_lCFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bC_8LF.RDb8_CLR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbN_PD_H8F.RDbN_PD_H8FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVFMC__FFRC__MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVb_.Ds_8$F.RbD8_s$R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV8Rs_FM_R_s8MR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVGRs_sCsFFs_R_sGCFsssR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVbR#N_sCFbR#N_sCFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#s0N0H_8#R_F#s0N0H_8#R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV0R#N_s0VlsF_oVbNR_F#s0N0s_VFVl_b_oNFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#b0F_#8H_#FR0_Fb8_H#FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVIMs__IFRs__MFORNNCl_sDsVNbo_;R
b@n@d:g4U:4(:U.g:j8R#VCVsRO08_8DC_N#00Rk#0_8OD_C8#00Nk0#R8DO_C38\0_8OD_C8#00Nk.#_
RRRR ODRC#bOk_NGFc_RO08_8DC_kOFM80_F;MC
RNH3Ds0_HFsolMNC0R"8DO_C#8_0kN0#
";b@R@ddn:.dd:..:ddc:nRPFsRC#bOk_NGF6_RC#bOk_NGF6_RdkM_koMlC_s#RC0k_M6olMk_#sCC
0;b@R@d4n:U(U::U4U:R4U#V8Vs#CRb_COD_C8sRC8#ObC_8DC_8sCRC#bOC_D8#\3b_COD_C8s_C84bR#COO_DR
......
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -5,10 +5,10 @@
#Implementation: test_tdc_pll
#Fri Jul 15 19:30:52 2011
#Mon Jul 18 09:43:56 2011
$ Start of Compile
#Fri Jul 15 19:30:52 2011
#Mon Jul 18 09:43:56 2011
Synopsys VHDL Compiler, version comp500rc, Build 027R, built Feb 19 2010
Copyright (C) 1994-2010, Synopsys Inc. All Rights Reserved
......@@ -131,7 +131,7 @@ State machine has 5 reachable states with original encodings of:
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/test_tdc_pll/top_test_pll.vhd":89:8:89:12|Input lf2_i is unused
@END
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Fri Jul 15 19:30:53 2011
# Mon Jul 18 09:43:57 2011
###########################################################]
Synopsys Xilinx Technology Mapper, Version map510rc, Build 068R, Built Feb 22 2010 15:14:03
......@@ -147,10 +147,8 @@ Adding property syn_netlist_hierarchy, value 0 to view:work.top_tdc(rtl)
@N: MF257 |Gated clock conversion enabled
Adding property syn_pad_type, value "LVCMOS_25", to instance spec_led_green_o
Adding property syn_pad_type, value "LVCMOS_25", to instance pll_cs_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux4_o
Adding property syn_pad_type, value "LVCMOS_25", to instance pll_sdi_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux3_o
Adding property syn_pad_type, value "LVCMOS_25", to instance pll_sclk_o
Adding property syn_pad_type, value "LVCMOS_25", to instance pll_sdi_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux2_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig1_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig2_o
......@@ -363,34 +361,34 @@ Finished gated-clock and generated-clock conversion (Time elapsed 0h:00m:00s; Me
@N: FX430 |Found 2 global buffers instantiated by user
Finished generic timing optimizations - Pass 1 (Time elapsed 0h:00m:00s; Memory used current: 124MB peak: 125MB)
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_00 = 00000000000000000000000000000000000000000000000001E009E102300231
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_04 = 101600171C18001900120C13241400150C030004F01004110632600000014002
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_05 = 04A600A700A804A900A204A300A400A5001E381F04A000A1001A001B081C001D
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_06 = 0190019101920193294069410D42094328F228F328F428F500AA00AB28F028F1
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_07 = 45A081A101A201A3019C019D899E019F01988999019A459B0194019501960197
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INITP_00 = 0000008220550000000000000000C40000000000000000000000000000000000
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_00 = 000000000000000000000000000000000000000000000000FD1FF51EFECFFECE
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_04 = ECE9FCE8E0E7FCE6FCEDF0ECD8EBFCEAF0FCFCFB0CEFF8EEFACD9CFFFCFEBCFD
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_05 = F859FC58FC57F856FC5DF85CFC5BFC5AFCE1C4E0F85FFC5EFCE5FCE4F4E3FCE2
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_06 = FD6FFD6EFD6DFD6CD5BF95BEF1BDF5BCD40DD40CD40BD40AFC55FC54D40FD40E
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INIT_07 = B95F7D5EFD5DFD5CFD63FD627561FD60FD677566FD65B964FD6BFD6AFD69FD68
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":381:41:381:50|Startup value clks_rsts_mgment.un7_word_being_sent_0_0.INITP_00 = FFFFFF7DDFAAFFFFFFFFFFFFFFFF3BFF000000000000000000000000000000FF
@N: FX211 |Packed ROM clks_rsts_mgment.un7_word_being_sent_0[17:0] (7 input, 18 output) to Block SelectRAM
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/free_counter.vhd":35:7:35:11|Boundary register spec_led_red_counter.value[31:0] has been packed into a complex cell. To disable this register packing, set syn_keep=1 on the net between the register and the complex cell.
Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 126MB peak: 127MB)
Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 126MB peak: 127MB)
Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 126MB peak: 127MB)
Finished generic timing optimizations - Pass 2 (Time elapsed 0h:00m:01s; Memory used current: 126MB peak: 127MB)
Finished preparing to map (Time elapsed 0h:00m:01s; Memory used current: 126MB peak: 127MB)
Finished preparing to map (Time elapsed 0h:00m:01s; Memory used current: 127MB peak: 127MB)
Finished technology mapping (Time elapsed 0h:00m:01s; Memory used current: 126MB peak: 127MB)
Finished technology mapping (Time elapsed 0h:00m:01s; Memory used current: 127MB peak: 127MB)
Pass CPU time Worst Slack Luts / Registers
------------------------------------------------------------
Pass CPU time Worst Slack Luts / Registers
------------------------------------------------------------
1 0h:00m:01s -3.67ns 188 / 92
2 0h:00m:01s -3.67ns 188 / 92
1 0h:00m:01s -3.68ns 200 / 92
2 0h:00m:01s -3.68ns 200 / 92
------------------------------------------------------------
Timing driven replication report
@N: FX271 :|Instance "N_132_i" with 44 loads has been replicated 1 time(s) to improve timing
@N: FX271 :|Instance "N_188_i" with 44 loads has been replicated 1 time(s) to improve timing
Added 0 Registers via timing driven replication
Added 1 LUTs via timing driven replication
......@@ -408,17 +406,14 @@ No replication required.
Pass CPU time Worst Slack Luts / Registers
------------------------------------------------------------
1 0h:00m:01s -3.14ns 182 / 92
1 0h:00m:01s -3.15ns 201 / 92
Timing driven replication report
No replication required.
2 0h:00m:01s -3.14ns 182 / 92
3 0h:00m:01s -3.14ns 182 / 92
4 0h:00m:01s -3.14ns 183 / 92
5 0h:00m:01s -3.14ns 183 / 92
6 0h:00m:01s -3.14ns 183 / 92
7 0h:00m:01s -3.14ns 183 / 92
8 0h:00m:01s -3.14ns 183 / 92
2 0h:00m:01s -3.15ns 201 / 92
3 0h:00m:01s -3.15ns 202 / 92
4 0h:00m:01s -3.15ns 202 / 92
5 0h:00m:01s -3.15ns 202 / 92
------------------------------------------------------------
Timing driven replication report
......@@ -429,19 +424,19 @@ No replication required.
Pass CPU time Worst Slack Luts / Registers
------------------------------------------------------------
1 0h:00m:01s -3.14ns 184 / 92
1 0h:00m:01s -3.15ns 202 / 92
Timing driven replication report
No replication required.
2 0h:00m:01s -3.14ns 184 / 92
3 0h:00m:01s -3.14ns 184 / 92
4 0h:00m:01s -3.14ns 184 / 92
2 0h:00m:01s -3.15ns 202 / 92
3 0h:00m:01s -3.15ns 202 / 92
4 0h:00m:01s -3.15ns 202 / 92
------------------------------------------------------------
Net buffering Report for view:work.top_tdc(rtl):
No nets needed buffering.
Finished technology timing optimizations and critical path resynthesis (Time elapsed 0h:00m:02s; Memory used current: 127MB peak: 128MB)
Finished technology timing optimizations and critical path resynthesis (Time elapsed 0h:00m:02s; Memory used current: 127MB peak: 127MB)
@N: FX623 |Packing into LUT62
Finished restoring hierarchy (Time elapsed 0h:00m:02s; Memory used current: 127MB peak: 128MB)
......@@ -451,7 +446,7 @@ Finished Writing Netlist Databases (Time elapsed 0h:00m:02s; Memory used current
Writing EDIF Netlist and constraint files
D-2010.03
Finished Writing EDIF Netlist and constraint files (Time elapsed 0h:00m:02s; Memory used current: 127MB peak: 129MB)
Finished Writing EDIF Netlist and constraint files (Time elapsed 0h:00m:03s; Memory used current: 127MB peak: 129MB)
Starting Writing Gated Clock Conversion Report (Time elapsed 0h:00m:03s; Memory used current: 126MB peak: 129MB)
......@@ -481,7 +476,7 @@ Port spec_led_red_o - has output constraint of 2.00ns w.r.t. clock spec_clk20:r
##### START OF TIMING REPORT #####[
# Timing Report written on Fri Jul 15 19:30:57 2011
# Timing Report written on Mon Jul 18 09:44:01 2011
#
......@@ -498,14 +493,14 @@ Performance Summary
*******************
Worst slack in design: -2.997
Worst slack in design: -3.015
Requested Estimated Requested Estimated Clock Clock
Starting Clock Frequency Frequency Period Period Slack Type Group
--------------------------------------------------------------------------------------------------------------------------
spec_clk20 20.0 MHz 104.2 MHz 50.000 9.592 40.408 declared default_clkgroup__2
tdc_clk125 125.0 MHz 90.9 MHz 8.000 10.997 -2.997 declared default_clkgroup__1
top_tdc|spec_clk_i 200.0 MHz 167.5 MHz 5.000 5.971 -0.971 inferred Inferred_clkgroup_0
tdc_clk125 125.0 MHz 90.8 MHz 8.000 11.015 -3.015 declared default_clkgroup__1
top_tdc|spec_clk_i 200.0 MHz 161.3 MHz 5.000 6.201 -1.201 inferred Inferred_clkgroup_0
==========================================================================================================================
......@@ -519,14 +514,14 @@ Clocks | rise to rise | fall to fa
-------------------------------------------------------------------------------------------------------------------------------
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
-------------------------------------------------------------------------------------------------------------------------------
tdc_clk125 tdc_clk125 | 8.000 -2.997 | No paths - | No paths - | No paths -
tdc_clk125 tdc_clk125 | 8.000 -3.015 | No paths - | No paths - | No paths -
tdc_clk125 spec_clk20 | Diff grp - | No paths - | No paths - | No paths -
tdc_clk125 top_tdc|spec_clk_i | Diff grp - | No paths - | No paths - | No paths -
spec_clk20 tdc_clk125 | Diff grp - | No paths - | No paths - | No paths -
spec_clk20 spec_clk20 | 50.000 40.408 | No paths - | No paths - | No paths -
top_tdc|spec_clk_i tdc_clk125 | Diff grp - | No paths - | No paths - | No paths -
top_tdc|spec_clk_i spec_clk20 | Diff grp - | No paths - | No paths - | No paths -
top_tdc|spec_clk_i top_tdc|spec_clk_i | 5.000 -0.971 | No paths - | No paths - | No paths -
top_tdc|spec_clk_i top_tdc|spec_clk_i | 5.000 -1.201 | No paths - | No paths - | No paths -
===============================================================================================================================
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
......@@ -610,9 +605,9 @@ pll_ld_i spec_clk20 (rising) 2.000 2.000 42.408
pll_refmon_i spec_clk20 (rising) 2.000 NA NA NA
pll_sdo_i spec_clk20 (rising) 2.000 NA NA NA
pll_status_i spec_clk20 (rising) 2.000 NA NA NA
rst_n_a_i tdc_clk125 (rising) 2.000 2.000 -0.997 -2.997
rst_n_a_i tdc_clk125 (rising) 2.000 2.000 -1.015 -3.015
spec_aux0_i tdc_clk125 (rising) 2.000 2.000 -0.581 -2.581
spec_aux1_i tdc_clk125 (rising) 2.000 2.000 -0.997 -2.997
spec_aux1_i tdc_clk125 (rising) 2.000 2.000 -1.015 -3.015
spec_clk_i NA NA NA NA NA
tdc_clk_n_i tdc_clk125 (rising) 2.000 NA NA NA
tdc_clk_p_i tdc_clk125 (rising) 2.000 NA NA NA
......@@ -628,13 +623,13 @@ Port Starting User
Name Reference Constraint Time Time Slack
Clock
-----------------------------------------------------------------------------------------------------------------
pll_cs_o top_tdc|spec_clk_i (rising) 2.000(spec_clk20 rising) 10.827 NA NA
pll_cs_o top_tdc|spec_clk_i (rising) 2.000(spec_clk20 rising) 10.836 NA NA
pll_sclk_o top_tdc|spec_clk_i (rising) 2.000(spec_clk20 rising) 5.344 NA NA
pll_sdi_o top_tdc|spec_clk_i (rising) 2.000(spec_clk20 rising) 16.613 NA NA
spec_aux2_o top_tdc|spec_clk_i (rising) 2.000(tdc_clk125 rising) 5.344 NA NA
spec_aux3_o top_tdc|spec_clk_i (rising) 2.000(tdc_clk125 rising) 16.613 NA NA
spec_aux4_o top_tdc|spec_clk_i (rising) 2.000(tdc_clk125 rising) 10.827 NA NA
spec_aux5_o tdc_clk125 (rising) 2.000(tdc_clk125 rising) 8.997 6.000 -2.997
pll_sdi_o top_tdc|spec_clk_i (rising) 2.000(spec_clk20 rising) 17.785 NA NA
spec_aux2_o top_tdc|spec_clk_i (rising) 2.000(tdc_clk125 rising) 17.785 NA NA
spec_aux3_o top_tdc|spec_clk_i (rising) 2.000(tdc_clk125 rising) 10.836 NA NA
spec_aux4_o top_tdc|spec_clk_i (rising) 2.000(tdc_clk125 rising) 5.344 NA NA
spec_aux5_o tdc_clk125 (rising) 2.000(tdc_clk125 rising) 9.015 6.000 -3.015
spec_led_green_o spec_clk20 (rising) 2.000(spec_clk20 rising) 7.592 48.000 40.408
spec_led_red_o spec_clk20 (rising) 2.000(spec_clk20 rising) 2.500 48.000 45.500
tdc_led_status_o tdc_clk125 (rising) 2.000(tdc_clk125 rising) 2.500 6.000 3.500
......@@ -920,16 +915,16 @@ Starting Points with Worst Slack
Instance Reference Type Pin Net Time Slack
Clock
--------------------------------------------------------------------------------------------------------------------
rst_n_a_i tdc_clk125 Port rst_n_a_i rst_n_a_i 2.000 -2.997
spec_aux1_i tdc_clk125 Port spec_aux1_i spec_aux1_i 2.000 -2.997
rst_n_a_i tdc_clk125 Port rst_n_a_i rst_n_a_i 2.000 -3.015
spec_aux1_i tdc_clk125 Port spec_aux1_i spec_aux1_i 2.000 -3.015
spec_aux0_i tdc_clk125 Port spec_aux0_i spec_aux0_i 2.000 -2.581
tdc_led_counter.value[17] tdc_clk125 FDR Q un1_tdc_led_counter[17] 2.296 1.477
tdc_led_counter.value[18] tdc_clk125 FDR Q un1_tdc_led_counter[18] 2.296 1.477
tdc_led_counter.value[19] tdc_clk125 FDS Q un1_tdc_led_counter[19] 2.296 1.477
tdc_led_counter.value[27] tdc_clk125 FDR Q un1_tdc_led_counter[27] 2.296 1.477
tdc_led_counter.value[23] tdc_clk125 FDS Q un1_tdc_led_counter[23] 2.296 1.507
tdc_led_counter.value[25] tdc_clk125 FDS Q un1_tdc_led_counter[25] 2.296 1.507
tdc_led_counter.value[26] tdc_clk125 FDR Q un1_tdc_led_counter[26] 2.296 1.507
tdc_led_counter.value[16] tdc_clk125 FDS Q un1_tdc_led_counter[16] 2.296 1.266
tdc_led_counter.value[17] tdc_clk125 FDR Q un1_tdc_led_counter[17] 2.296 1.266
tdc_led_counter.value[18] tdc_clk125 FDR Q un1_tdc_led_counter[18] 2.296 1.266
tdc_led_counter.value[19] tdc_clk125 FDS Q un1_tdc_led_counter[19] 2.296 1.266
tdc_led_counter.value[20] tdc_clk125 FDS Q un1_tdc_led_counter[20] 2.296 1.266
tdc_led_counter.value[21] tdc_clk125 FDS Q un1_tdc_led_counter[21] 2.296 1.266
tdc_led_counter.value[22] tdc_clk125 FDR Q un1_tdc_led_counter[22] 2.296 1.266
====================================================================================================================
......@@ -940,16 +935,16 @@ Ending Points with Worst Slack
Instance Reference Type Pin Net Time Slack
Clock
----------------------------------------------------------------------------------------------------------------
spec_aux5_o tdc_clk125 Port spec_aux5_o spec_aux5_o 6.000 -2.997
spec_aux5_o tdc_clk125 Port spec_aux5_o spec_aux5_o 6.000 -3.015
tdc_led_trig3_o tdc_clk125 Port tdc_led_trig3_o tdc_led_trig3_o 6.000 -2.581
tdc_led_trig4_o tdc_clk125 Port tdc_led_trig4_o tdc_led_trig4_o 6.000 -2.581
tdc_led_trig5_o tdc_clk125 Port tdc_led_trig5_o tdc_led_trig5_o 6.000 -2.581
tdc_led_counter.value[0] tdc_clk125 FDS S N_51_i 9.000 1.477
tdc_led_counter.value[1] tdc_clk125 FDS S N_51_i 9.000 1.477
tdc_led_counter.value[2] tdc_clk125 FDS S N_51_i 9.000 1.477
tdc_led_counter.value[3] tdc_clk125 FDS S N_51_i 9.000 1.477
tdc_led_counter.value[4] tdc_clk125 FDS S N_51_i 9.000 1.477
tdc_led_counter.value[5] tdc_clk125 FDR R N_51_i 9.000 1.477
tdc_led_counter.value[0] tdc_clk125 FDS S N_39_i 9.000 1.266
tdc_led_counter.value[1] tdc_clk125 FDS S N_39_i 9.000 1.266
tdc_led_counter.value[2] tdc_clk125 FDS S N_39_i 9.000 1.266
tdc_led_counter.value[3] tdc_clk125 FDS S N_39_i 9.000 1.266
tdc_led_counter.value[4] tdc_clk125 FDS S N_39_i 9.000 1.266
tdc_led_counter.value[5] tdc_clk125 FDR R N_39_i 9.000 1.266
================================================================================================================
......@@ -963,9 +958,9 @@ Path information for path number 1:
- User constraint on ending point: 2.000
= Required time: 6.000
- Propagation time: 6.997
- Propagation time: 7.015
- User constraint on starting point: 2.000
= Slack (critical) : -2.997
= Slack (critical) : -3.015
Number of logic level(s): 3
Starting point: rst_n_a_i / rst_n_a_i
......@@ -980,16 +975,16 @@ rst_n_a_i Port rst_n_a_i In 0.000 2.000
rst_n_a_i Net - - 0.000 - 1
rst_n_a_i_ibuf IBUF I In - 2.000 -
rst_n_a_i_ibuf IBUF O Out 1.290 3.290 -
rst_n_a_i_c Net - - 1.160 - 14
spec_aux5_o_obuf_RNO LUT2 I0 In - 4.450 -
spec_aux5_o_obuf_RNO LUT2 O Out 0.244 4.694 -
N_132_i Net - - 0.862 - 1
spec_aux5_o_obuf OBUF I In - 5.557 -
spec_aux5_o_obuf OBUF O Out 3.440 8.997 -
rst_n_a_i_c Net - - 1.179 - 16
spec_aux5_o_obuf_RNO LUT2 I0 In - 4.469 -
spec_aux5_o_obuf_RNO LUT2 O Out 0.244 4.713 -
N_188_i Net - - 0.862 - 1
spec_aux5_o_obuf OBUF I In - 5.575 -
spec_aux5_o_obuf OBUF O Out 3.440 9.015 -
spec_aux5_o Net - - 0.000 - 1
spec_aux5_o Port spec_aux5_o Out - 8.997 -
spec_aux5_o Port spec_aux5_o Out - 9.015 -
==========================================================================================
Total path delay (propagation time + setup) of 6.997 is 4.974(71.1%) logic and 2.023(28.9%) route.
Total path delay (propagation time + setup) of 7.015 is 4.974(70.9%) logic and 2.041(29.1%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -998,9 +993,9 @@ Path information for path number 2:
- User constraint on ending point: 2.000
= Required time: 6.000
- Propagation time: 6.997
- Propagation time: 7.015
- User constraint on starting point: 2.000
= Slack (critical) : -2.997
= Slack (critical) : -3.015
Number of logic level(s): 3
Starting point: spec_aux1_i / spec_aux1_i
......@@ -1015,16 +1010,16 @@ spec_aux1_i Port spec_aux1_i In 0.000 2.000
spec_aux1_i Net - - 0.000 - 1
spec_aux1_i_ibuf IBUF I In - 2.000 -
spec_aux1_i_ibuf IBUF O Out 1.290 3.290 -
spec_aux1_i_c Net - - 1.160 - 14
spec_aux5_o_obuf_RNO LUT2 I1 In - 4.450 -
spec_aux5_o_obuf_RNO LUT2 O Out 0.244 4.694 -
N_132_i Net - - 0.862 - 1
spec_aux5_o_obuf OBUF I In - 5.557 -
spec_aux5_o_obuf OBUF O Out 3.440 8.997 -
spec_aux1_i_c Net - - 1.179 - 16
spec_aux5_o_obuf_RNO LUT2 I1 In - 4.469 -
spec_aux5_o_obuf_RNO LUT2 O Out 0.244 4.713 -
N_188_i Net - - 0.862 - 1
spec_aux5_o_obuf OBUF I In - 5.575 -
spec_aux5_o_obuf OBUF O Out 3.440 9.015 -
spec_aux5_o Net - - 0.000 - 1
spec_aux5_o Port spec_aux5_o Out - 8.997 -
spec_aux5_o Port spec_aux5_o Out - 9.015 -
==========================================================================================
Total path delay (propagation time + setup) of 6.997 is 4.974(71.1%) logic and 2.023(28.9%) route.
Total path delay (propagation time + setup) of 7.015 is 4.974(70.9%) logic and 2.041(29.1%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1148,16 +1143,16 @@ Starting Points with Worst Slack
Instance Reference Type Pin Net Time Slack
Clock
------------------------------------------------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[23] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[23] 5.140 -0.971
clks_rsts_mgment.general_poreset.value[25] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[25] 5.140 -0.971
clks_rsts_mgment.general_poreset.value[26] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[26] 5.140 -0.971
clks_rsts_mgment.general_poreset.value[22] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[22] 5.140 -0.879
clks_rsts_mgment.general_poreset.value[24] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[24] 5.140 -0.879
clks_rsts_mgment.general_poreset.value[7] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[7] 5.140 -0.871
clks_rsts_mgment.general_poreset.value[8] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[8] 5.140 -0.871
clks_rsts_mgment.general_poreset.value[13] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[13] 5.140 -0.831
clks_rsts_mgment.general_poreset.value[14] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[14] 5.140 -0.831
clks_rsts_mgment.general_poreset.value[1] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[1] 5.140 -0.791
clks_rsts_mgment.general_poreset.value[10] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[10] 5.140 -1.201
clks_rsts_mgment.general_poreset.value[11] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[11] 5.140 -1.201
clks_rsts_mgment.general_poreset.value[1] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[1] 5.140 -1.116
clks_rsts_mgment.general_poreset.value[2] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[2] 5.140 -1.116
clks_rsts_mgment.general_poreset.value[12] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[12] 5.140 -1.116
clks_rsts_mgment.general_poreset.value[13] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[13] 5.140 -1.116
clks_rsts_mgment.general_poreset.value[20] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[20] 5.140 -0.933
clks_rsts_mgment.general_poreset.value[21] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[21] 5.140 -0.933
clks_rsts_mgment.general_poreset.value[22] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[22] 5.140 -0.930
clks_rsts_mgment.general_poreset.value[23] top_tdc|spec_clk_i FDRE Q clks_rsts_mgment.general_poreset.value_qxu[23] 5.140 -0.930
============================================================================================================================================================
......@@ -1168,16 +1163,16 @@ Ending Points with Worst Slack
Instance Reference Type Pin Net Time Slack
Clock
--------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[0] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[1] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[2] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[3] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[4] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[5] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[6] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[7] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[8] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[9] top_tdc|spec_clk_i FDRE CE N_10_i 9.257 -0.971
clks_rsts_mgment.general_poreset.value[0] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[1] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[2] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[3] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[4] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[5] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[6] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[7] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[8] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
clks_rsts_mgment.general_poreset.value[9] top_tdc|spec_clk_i FDRE CE N_18_i 9.257 -1.201
====================================================================================================================
......@@ -1192,33 +1187,33 @@ Path information for path number 1:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 5.673
- Propagation time: 5.903
- Clock delay at starting point: 4.555
= Slack (non-critical) : -0.971
= Slack (non-critical) : -1.201
Number of logic level(s): 3
Starting point: clks_rsts_mgment.general_poreset.value[23] / Q
Starting point: clks_rsts_mgment.general_poreset.value[10] / Q
Ending point: clks_rsts_mgment.general_poreset.value[0] / CE
The start point is clocked by top_tdc|spec_clk_i [rising] on pin C
The end point is clocked by top_tdc|spec_clk_i [rising] on pin C
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
-------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[23] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[23] Net - - 0.935 - 2
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 I0 In - 6.075 -
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 O Out 0.427 6.502 -
m11_0_a2_2 Net - - 0.910 - 2
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L I5 In - 7.412 -
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L LO Out 0.195 7.608 -
N_2251 Net - - 1.050 - 1
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I5 In - 8.658 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.287 8.945 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[0] FDRE CE In - 10.228 -
===================================================================================================================
Total path delay (propagation time + setup) of 5.971 is 1.793(30.0%) logic and 4.178(70.0%) route.
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[10] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[10] Net - - 0.985 - 4
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L I0 In - 6.125 -
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L LO Out 0.244 6.369 -
m15_0_1 Net - - 1.050 - 1
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 I5 In - 7.418 -
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 O Out 0.342 7.761 -
m15_2 Net - - 1.292 - 33
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I5 In - 9.053 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.122 9.175 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[0] FDRE CE In - 10.458 -
====================================================================================================================
Total path delay (propagation time + setup) of 6.201 is 1.591(25.7%) logic and 4.610(74.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1237,7 +1232,7 @@ clks_rsts_mgment.spec_clk_buf Net -
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[23] FDRE C In - 4.555 -
clks_rsts_mgment.general_poreset.value[10] FDRE C In - 4.555 -
=============================================================================================================================
......@@ -1266,33 +1261,33 @@ Path information for path number 2:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 5.673
- Propagation time: 5.903
- Clock delay at starting point: 4.555
= Slack (non-critical) : -0.971
= Slack (non-critical) : -1.201
Number of logic level(s): 3
Starting point: clks_rsts_mgment.general_poreset.value[25] / Q
Starting point: clks_rsts_mgment.general_poreset.value[11] / Q
Ending point: clks_rsts_mgment.general_poreset.value[0] / CE
The start point is clocked by top_tdc|spec_clk_i [rising] on pin C
The end point is clocked by top_tdc|spec_clk_i [rising] on pin C
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
-------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[25] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[25] Net - - 0.935 - 2
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 I1 In - 6.075 -
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 O Out 0.427 6.502 -
m11_0_a2_2 Net - - 0.910 - 2
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L I5 In - 7.412 -
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L LO Out 0.195 7.608 -
N_2251 Net - - 1.050 - 1
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I5 In - 8.658 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.287 8.945 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[0] FDRE CE In - 10.228 -
===================================================================================================================
Total path delay (propagation time + setup) of 5.971 is 1.793(30.0%) logic and 4.178(70.0%) route.
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[11] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[11] Net - - 0.985 - 4
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L I1 In - 6.125 -
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L LO Out 0.244 6.369 -
m15_0_1 Net - - 1.050 - 1
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 I5 In - 7.418 -
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 O Out 0.342 7.761 -
m15_2 Net - - 1.292 - 33
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I5 In - 9.053 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.122 9.175 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[0] FDRE CE In - 10.458 -
====================================================================================================================
Total path delay (propagation time + setup) of 6.201 is 1.591(25.7%) logic and 4.610(74.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1311,7 +1306,7 @@ clks_rsts_mgment.spec_clk_buf Net -
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[25] FDRE C In - 4.555 -
clks_rsts_mgment.general_poreset.value[11] FDRE C In - 4.555 -
=============================================================================================================================
......@@ -1340,33 +1335,33 @@ Path information for path number 3:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 5.673
- Propagation time: 5.903
- Clock delay at starting point: 4.555
= Slack (non-critical) : -0.971
= Slack (non-critical) : -1.201
Number of logic level(s): 3
Starting point: clks_rsts_mgment.general_poreset.value[26] / Q
Ending point: clks_rsts_mgment.general_poreset.value[0] / CE
Starting point: clks_rsts_mgment.general_poreset.value[10] / Q
Ending point: clks_rsts_mgment.general_poreset.value[31] / CE
The start point is clocked by top_tdc|spec_clk_i [rising] on pin C
The end point is clocked by top_tdc|spec_clk_i [rising] on pin C
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
-------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[26] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[26] Net - - 0.935 - 2
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 I2 In - 6.075 -
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 O Out 0.427 6.502 -
m11_0_a2_2 Net - - 0.910 - 2
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L I5 In - 7.412 -
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L LO Out 0.195 7.608 -
N_2251 Net - - 1.050 - 1
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I5 In - 8.658 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.287 8.945 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[0] FDRE CE In - 10.228 -
===================================================================================================================
Total path delay (propagation time + setup) of 5.971 is 1.793(30.0%) logic and 4.178(70.0%) route.
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[10] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[10] Net - - 0.985 - 4
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L I0 In - 6.125 -
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L LO Out 0.244 6.369 -
m15_0_1 Net - - 1.050 - 1
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 I5 In - 7.418 -
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 O Out 0.342 7.761 -
m15_2 Net - - 1.292 - 33
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I5 In - 9.053 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.122 9.175 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[31] FDRE CE In - 10.458 -
====================================================================================================================
Total path delay (propagation time + setup) of 6.201 is 1.591(25.7%) logic and 4.610(74.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1385,27 +1380,27 @@ clks_rsts_mgment.spec_clk_buf Net -
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[26] FDRE C In - 4.555 -
clks_rsts_mgment.general_poreset.value[10] FDRE C In - 4.555 -
=============================================================================================================================
End clock path:
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
----------------------------------------------------------------------------------------------------------------------------
Start Clock : top_tdc|spec_clk_i
------------
spec_clk_i Port spec_clk_i In - 0.000 -
spec_clk_i Net - - 0.000 - 1
clks_rsts_mgment.spec_clk_ibuf IBUFG I In - 0.000 -
clks_rsts_mgment.spec_clk_ibuf IBUFG O Out 1.290 1.290 -
clks_rsts_mgment.spec_clk_buf Net - - 1.304 - 1
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[0] FDRE C In - 4.555 -
============================================================================================================================
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
-----------------------------------------------------------------------------------------------------------------------------
Start Clock : top_tdc|spec_clk_i
------------
spec_clk_i Port spec_clk_i In - 0.000 -
spec_clk_i Net - - 0.000 - 1
clks_rsts_mgment.spec_clk_ibuf IBUFG I In - 0.000 -
clks_rsts_mgment.spec_clk_ibuf IBUFG O Out 1.290 1.290 -
clks_rsts_mgment.spec_clk_buf Net - - 1.304 - 1
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[31] FDRE C In - 4.555 -
=============================================================================================================================
Path information for path number 4:
......@@ -1414,33 +1409,33 @@ Path information for path number 4:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 5.673
- Propagation time: 5.903
- Clock delay at starting point: 4.555
= Slack (non-critical) : -0.971
= Slack (non-critical) : -1.201
Number of logic level(s): 3
Starting point: clks_rsts_mgment.general_poreset.value[23] / Q
Ending point: clks_rsts_mgment.general_poreset.value[31] / CE
Starting point: clks_rsts_mgment.general_poreset.value[10] / Q
Ending point: clks_rsts_mgment.general_poreset.value[30] / CE
The start point is clocked by top_tdc|spec_clk_i [rising] on pin C
The end point is clocked by top_tdc|spec_clk_i [rising] on pin C
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
-------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[23] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[23] Net - - 0.935 - 2
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 I0 In - 6.075 -
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 O Out 0.427 6.502 -
m11_0_a2_2 Net - - 0.910 - 2
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L I5 In - 7.412 -
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L LO Out 0.195 7.608 -
N_2251 Net - - 1.050 - 1
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I5 In - 8.658 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.287 8.945 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[31] FDRE CE In - 10.228 -
===================================================================================================================
Total path delay (propagation time + setup) of 5.971 is 1.793(30.0%) logic and 4.178(70.0%) route.
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[10] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[10] Net - - 0.985 - 4
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L I0 In - 6.125 -
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L LO Out 0.244 6.369 -
m15_0_1 Net - - 1.050 - 1
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 I5 In - 7.418 -
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 O Out 0.342 7.761 -
m15_2 Net - - 1.292 - 33
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I5 In - 9.053 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.122 9.175 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[30] FDRE CE In - 10.458 -
====================================================================================================================
Total path delay (propagation time + setup) of 6.201 is 1.591(25.7%) logic and 4.610(74.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1459,7 +1454,7 @@ clks_rsts_mgment.spec_clk_buf Net -
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[23] FDRE C In - 4.555 -
clks_rsts_mgment.general_poreset.value[10] FDRE C In - 4.555 -
=============================================================================================================================
......@@ -1478,7 +1473,7 @@ clks_rsts_mgment.spec_clk_buf Net -
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[31] FDRE C In - 4.555 -
clks_rsts_mgment.general_poreset.value[30] FDRE C In - 4.555 -
=============================================================================================================================
......@@ -1488,33 +1483,33 @@ Path information for path number 5:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 5.673
- Propagation time: 5.903
- Clock delay at starting point: 4.555
= Slack (non-critical) : -0.971
= Slack (non-critical) : -1.201
Number of logic level(s): 3
Starting point: clks_rsts_mgment.general_poreset.value[23] / Q
Ending point: clks_rsts_mgment.general_poreset.value[30] / CE
Starting point: clks_rsts_mgment.general_poreset.value[10] / Q
Ending point: clks_rsts_mgment.general_poreset.value[29] / CE
The start point is clocked by top_tdc|spec_clk_i [rising] on pin C
The end point is clocked by top_tdc|spec_clk_i [rising] on pin C
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
-------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[23] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[23] Net - - 0.935 - 2
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 I0 In - 6.075 -
clks_rsts_mgment.general_poreset.value_RNIBN28[23] LUT3 O Out 0.427 6.502 -
m11_0_a2_2 Net - - 0.910 - 2
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L I5 In - 7.412 -
clks_rsts_mgment.general_poreset.value_RNIUV8Q[20] LUT6_L LO Out 0.195 7.608 -
N_2251 Net - - 1.050 - 1
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I5 In - 8.658 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.287 8.945 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[30] FDRE CE In - 10.228 -
===================================================================================================================
Total path delay (propagation time + setup) of 5.971 is 1.793(30.0%) logic and 4.178(70.0%) route.
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[10] FDRE Q Out 0.585 5.140 -
clks_rsts_mgment.general_poreset.value_qxu[10] Net - - 0.985 - 4
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L I0 In - 6.125 -
clks_rsts_mgment.general_poreset.value_RNITDB5[10] LUT2_L LO Out 0.244 6.369 -
m15_0_1 Net - - 1.050 - 1
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 I5 In - 7.418 -
clks_rsts_mgment.general_poreset.value_RNI1JF01[15] LUT6 O Out 0.342 7.761 -
m15_2 Net - - 1.292 - 33
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I5 In - 9.053 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.122 9.175 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[29] FDRE CE In - 10.458 -
====================================================================================================================
Total path delay (propagation time + setup) of 6.201 is 1.591(25.7%) logic and 4.610(74.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1533,7 +1528,7 @@ clks_rsts_mgment.spec_clk_buf Net -
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[23] FDRE C In - 4.555 -
clks_rsts_mgment.general_poreset.value[10] FDRE C In - 4.555 -
=============================================================================================================================
......@@ -1552,7 +1547,7 @@ clks_rsts_mgment.spec_clk_buf Net -
clks_rsts_mgment.spec_clk_gbuf BUFG I In - 2.594 -
clks_rsts_mgment.spec_clk_gbuf BUFG O Out 0.250 2.844 -
spec_clk_i_0 Net - - 1.711 - 0
clks_rsts_mgment.general_poreset.value[30] FDRE C In - 4.555 -
clks_rsts_mgment.general_poreset.value[29] FDRE C In - 4.555 -
=============================================================================================================================
......@@ -1571,7 +1566,7 @@ Starting Points with Worst Slack
Instance Reference Type Pin Net Time Slack
Clock
--------------------------------------------------------------------------------------------------------------
clks_rsts_mgment.gral_incr System FDC Q clks_rsts_mgment.gral_incr 0.585 1.045
clks_rsts_mgment.gral_incr System FDC Q clks_rsts_mgment.gral_incr 0.585 1.026
==============================================================================================================
......@@ -1582,16 +1577,16 @@ Ending Points with Worst Slack
Instance Reference Type Pin Net Time Slack
Clock
----------------------------------------------------------------------------------------------------------
clks_rsts_mgment.general_poreset.value[0] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[1] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[2] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[3] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[4] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[5] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[6] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[7] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[8] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[9] System FDRE CE N_10_i 4.702 1.045
clks_rsts_mgment.general_poreset.value[0] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[1] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[2] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[3] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[4] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[5] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[6] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[7] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[8] System FDRE CE N_18_i 4.702 1.026
clks_rsts_mgment.general_poreset.value[9] System FDRE CE N_18_i 4.702 1.026
==========================================================================================================
......@@ -1606,9 +1601,9 @@ Path information for path number 1:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 3.657
- Propagation time: 3.676
- Estimated clock delay at start point: 4.555
= Slack (non-critical) : 1.045
= Slack (non-critical) : 1.026
Number of logic level(s): 1
Starting point: clks_rsts_mgment.gral_incr / Q
......@@ -1620,13 +1615,13 @@ Instance / Net Pin Pin
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------------------
clks_rsts_mgment.gral_incr FDC Q Out 0.585 0.585 -
clks_rsts_mgment.gral_incr Net - - 0.935 - 2
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I0 In - 1.520 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.854 2.374 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[0] FDRE CE In - 3.657 -
clks_rsts_mgment.gral_incr Net - - 0.955 - 3
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I0 In - 1.540 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.854 2.394 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[0] FDRE CE In - 3.676 -
========================================================================================================
Total path delay (propagation time + setup) of 3.955 is 1.737(43.9%) logic and 2.218(56.1%) route.
Total path delay (propagation time + setup) of 3.974 is 1.737(43.7%) logic and 2.237(56.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1665,9 +1660,9 @@ Path information for path number 2:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 3.657
- Propagation time: 3.676
- Estimated clock delay at start point: 4.555
= Slack (non-critical) : 1.045
= Slack (non-critical) : 1.026
Number of logic level(s): 1
Starting point: clks_rsts_mgment.gral_incr / Q
......@@ -1679,13 +1674,13 @@ Instance / Net Pin Pin
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------------------------------
clks_rsts_mgment.gral_incr FDC Q Out 0.585 0.585 -
clks_rsts_mgment.gral_incr Net - - 0.935 - 2
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I0 In - 1.520 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.854 2.374 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[31] FDRE CE In - 3.657 -
clks_rsts_mgment.gral_incr Net - - 0.955 - 3
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I0 In - 1.540 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.854 2.394 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[31] FDRE CE In - 3.676 -
=========================================================================================================
Total path delay (propagation time + setup) of 3.955 is 1.737(43.9%) logic and 2.218(56.1%) route.
Total path delay (propagation time + setup) of 3.974 is 1.737(43.7%) logic and 2.237(56.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1724,9 +1719,9 @@ Path information for path number 3:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 3.657
- Propagation time: 3.676
- Estimated clock delay at start point: 4.555
= Slack (non-critical) : 1.045
= Slack (non-critical) : 1.026
Number of logic level(s): 1
Starting point: clks_rsts_mgment.gral_incr / Q
......@@ -1738,13 +1733,13 @@ Instance / Net Pin Pin
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------------------------------
clks_rsts_mgment.gral_incr FDC Q Out 0.585 0.585 -
clks_rsts_mgment.gral_incr Net - - 0.935 - 2
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I0 In - 1.520 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.854 2.374 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[30] FDRE CE In - 3.657 -
clks_rsts_mgment.gral_incr Net - - 0.955 - 3
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I0 In - 1.540 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.854 2.394 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[30] FDRE CE In - 3.676 -
=========================================================================================================
Total path delay (propagation time + setup) of 3.955 is 1.737(43.9%) logic and 2.218(56.1%) route.
Total path delay (propagation time + setup) of 3.974 is 1.737(43.7%) logic and 2.237(56.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1783,9 +1778,9 @@ Path information for path number 4:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 3.657
- Propagation time: 3.676
- Estimated clock delay at start point: 4.555
= Slack (non-critical) : 1.045
= Slack (non-critical) : 1.026
Number of logic level(s): 1
Starting point: clks_rsts_mgment.gral_incr / Q
......@@ -1797,13 +1792,13 @@ Instance / Net Pin Pin
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------------------------------
clks_rsts_mgment.gral_incr FDC Q Out 0.585 0.585 -
clks_rsts_mgment.gral_incr Net - - 0.935 - 2
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I0 In - 1.520 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.854 2.374 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[29] FDRE CE In - 3.657 -
clks_rsts_mgment.gral_incr Net - - 0.955 - 3
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I0 In - 1.540 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.854 2.394 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[29] FDRE CE In - 3.676 -
=========================================================================================================
Total path delay (propagation time + setup) of 3.955 is 1.737(43.9%) logic and 2.218(56.1%) route.
Total path delay (propagation time + setup) of 3.974 is 1.737(43.7%) logic and 2.237(56.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1842,9 +1837,9 @@ Path information for path number 5:
+ Clock delay at ending point: 4.555
= Required time: 9.257
- Propagation time: 3.657
- Propagation time: 3.676
- Estimated clock delay at start point: 4.555
= Slack (non-critical) : 1.045
= Slack (non-critical) : 1.026
Number of logic level(s): 1
Starting point: clks_rsts_mgment.gral_incr / Q
......@@ -1856,13 +1851,13 @@ Instance / Net Pin Pin
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------------------------------
clks_rsts_mgment.gral_incr FDC Q Out 0.585 0.585 -
clks_rsts_mgment.gral_incr Net - - 0.935 - 2
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 I0 In - 1.520 -
clks_rsts_mgment.gral_incr_RNIIVJI3 LUT6 O Out 0.854 2.374 -
N_10_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[28] FDRE CE In - 3.657 -
clks_rsts_mgment.gral_incr Net - - 0.955 - 3
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 I0 In - 1.540 -
clks_rsts_mgment.gral_incr_RNIJDSQ2 LUT6 O Out 0.854 2.394 -
N_18_i Net - - 1.283 - 32
clks_rsts_mgment.general_poreset.value[28] FDRE CE In - 3.676 -
=========================================================================================================
Total path delay (propagation time + setup) of 3.955 is 1.737(43.9%) logic and 2.218(56.1%) route.
Total path delay (propagation time + setup) of 3.974 is 1.737(43.7%) logic and 2.237(56.3%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
......@@ -1906,20 +1901,20 @@ Cell usage:
DSP48A1 1 use
FD 13 uses
FDC 1 use
FDR 23 uses
FDR 22 uses
FDRE 34 uses
FDS 21 uses
FDS 22 uses
GND 1 use
MUXCY_L 70 uses
RAMB8BWER 1 use
VCC 1 use
XORCY 71 uses
LUT1 63 uses
LUT2 18 uses
LUT3 16 uses
LUT4 8 uses
LUT5 37 uses
LUT6 35 uses
LUT2 29 uses
LUT3 17 uses
LUT4 10 uses
LUT5 6 uses
LUT6 70 uses
LUT6_2 1 use
I/O ports: 135
......@@ -1945,14 +1940,14 @@ Global Clock Buffers: 2 of 16 (12%)
Number of unique control sets: 9
C(spec_clk_i_0), CLR(GND), PRE(GND), CE(VCC) : 11
C(clk_i), CLR(pll_sclk_i), PRE(GND), CE(VCC) : 1
C(clk_i), CLR(pll_sclk_o_c_i), PRE(GND), CE(VCC) : 1
C(clk), R(clks_rsts_mgment.inv_reset_i), S(GND), CE(VCC) : 2
C(spec_clk), R(N_132_i_iso), S(GND), CE(VCC) : 2
C(clk), R(GND), S(N_51_i), CE(VCC) : 17
C(clk), R(N_51_i), S(GND), CE(VCC) : 15
C(spec_clk_i_0), R(N_132_i_iso), S(GND), CE(N_10_i) : 32
C(spec_clk_i_0), R(N_132_i_iso), S(GND), CE(VCC) : 2
C(spec_clk_i_0), R(GND), S(N_132_i_iso), CE(VCC) : 4
C(spec_clk), R(N_188_i_iso), S(GND), CE(VCC) : 2
C(clk), R(GND), S(N_39_i), CE(VCC) : 17
C(clk), R(N_39_i), S(GND), CE(VCC) : 15
C(spec_clk_i_0), R(N_188_i_iso), S(GND), CE(N_18_i) : 32
C(spec_clk_i_0), R(N_188_i_iso), S(GND), CE(VCC) : 2
C(spec_clk_i_0), R(GND), S(N_188_i_iso), CE(VCC) : 4
Total load per clock:
top_tdc|spec_clk_i: 53
......@@ -1960,10 +1955,10 @@ Total load per clock:
tdc_clk125: 36
Mapping Summary:
Total LUTs: 178 (0%)
Total LUTs: 196 (0%)
Mapper successful!
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
# Fri Jul 15 19:30:57 2011
# Mon Jul 18 09:44:01 2011
###########################################################]
......@@ -6,7 +6,7 @@
#
# Created by Synplify VHDL Compiler version comp500rc, Build 027R from Synplicity, Inc.
# Copyright 1994-2010 Synopsys, Inc. , All rights reserved.
# Synthesis Netlist written on Fri Jul 15 19:30:53 2011
# Synthesis Netlist written on Mon Jul 18 09:43:57 2011
#
#
#OPTIONS:"|-top|top_tdc|-dspmac|-pqdpadd|-primux|-fixsmult|-sdff_counter|-infer_seqShift|-nram|-divnmod|-dfltencoding|onehot|-encrypt|-pro|-lite|-ll|2000|-ui|-fid2|-ram|-sharing|off|-autosm|-ignore_undefined_lib|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work"
......@@ -45,11 +45,11 @@
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":1310389590
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_arbiter.vhd":1310389590
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":1310389590
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":1310750802
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":1310974921
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/one_hz_gen.vhd":1306400619
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/start_nb_offset_gen.vhd":1307641333
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":1307642203
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/test_tdc_pll/top_test_pll.vhd":1310736179
#CUR:"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/test_tdc_pll/top_test_pll.vhd":1310973394
f "/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/vhd/std.vhd"; # file 0
af .is_vhdl 1;
f "/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_pkg_s6.vhd"; # file 1
......@@ -355,269 +355,268 @@ mb=#COO_DF _
=SQ#ObC_ OD_VLk;R
s@.@d:d.c:.c:c4d:UFRIsH RM_OsOMFk0RCssR0DoCCMs_NDbCFs#
C0S OD=C#bOD_O
_FS8CM_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kC0Csk,k0sCs,0k0C,s,kCV#NDCs,0kSC
HsMO=NosDM_HOSs
sCC#0M=oksl_C0#C_SH
OMFk0F_8MHC=MsP_C0#C
kSOsMsC0N_PDrkCdj4:9M=k4C_oMNCsDF_bsCC#04rd:;j9
@bR@:d.dU(:::d(.LjRkNVRO_NlsOCVDF _RNNOlC_sV OD_NFRO_NlsOCVDH _;R
b@.@d:g.6::d6.:6g6HjRM#PRH$DD\H3#D_D$NCD0s.M_RD#HD3$\#DHD$D_N0MCs_#.RH$DD_0NDC;sM
@bR@:d..:nnUn:.nR:gHRMPk_M4#DHD$D_N0MCsR4kM_D#HDN$_Ds0CMHR#D_D$NCD0s
M;b@R@d..:U.6:gU:.66:dRPHMR4kM_0L$CM_H8rCG6n(:dk9RML4_$_0CHCM8G(r6:9ndR0L$CM_H8rCGn9:j;R
b@.@d:dd(::d4d:(dcH.RMbPRD#D_O_D oCCMsFN0sb\3D#D_O_D dDRbDO_#Do _CsMCNs0F\D3bDO_#Dd _
RRRRDbD_D#O b;
Rd@@.6:6j(:.:j66:RdgHRMPoCCMs_NDsCC#0R_FoCCMs_NDsCC#0R_FH_MPsCC#0b;
Rj@@:44::.4:Rk0sCsR0k0CRs;kC
@bR@4j::44::V.RNCD#RDVN#VCRNCD#;R
b@.@d::d(U(:d:R.j8bORD8D_N#O_$_MOFDRbDN_8O$_#MFO_;R
#@.@d:(4n:4(:n4(:(DRbDM_HH#0_0:rjcy9RRN#00lCRNHOEM3C
#00NCj#Rj4jjRjjj4jjRjj4jRjj4j4jRjjjj
03#N_0CHFMVRd@@.U:.U::U.:UU.jjRj4jjRN#0s30
#00NCM_HV@FR@:d..:g(Ug:.(c:dRjjj4#jRCHM8MHo_Ms#0kHO0F3M
#00NCM_HV@FR@:d.d:j(Uj:d((:.R4jjj#jRCHM8M8o_N
0N3N#00HC_MRVF@.@d:(d4:dU:44(:g4RjjRjjs0C#
03#N_0CHFMVRd@@.d:dj::Ud:dj44gRjjjjRM8FCH
3M0bkMCNl#$RL0HC_MG8CrRj9LC$0_8HMC4Gr9$RL0HC_MG8CrR.9LC$0_8HMCdGr9$RL0HC_MG8CrRc9LC$0_8HMC6Gr9H
3M0bkMCNl#$RL0HC_MG8CrRn9L_H0HCM8G9rjR0LH_8HMC4Gr9HRL0M_H8rCG.L9RHH0_MG8CrRd9olMk_#sCCH0_
M3HbMk0N#lCRDbD_D#O O
3D FORC#bOD_O R_FsHH#MCo_8
oC---------j---jR4jRjj4jjjjjR
jjjjj-j--4-jRjj4j4jRjjjjR-
----------Rjjjj4jj4RjjRjj
-------jjjjjj4Rjj4jRjj4j
jR---------j-4-jRj4Rjjjjj4j-R
--------4--jR4jjjjjRjj4jR-
--------4-Rj-jjj4jjRj4Rjj
-------4---jj-Rjj4jR4jjj
jR---------j--jjRj4Rjjjjj4j-R
-----j-jj4jjRjjj4jjRjj4jR-
-----4----Rj4jj4jjjRjjR4j
------4----jj4R4jjjRjjj4
jR----4----j--44RjjRjjj4jjj-R
---4-----4-jRjj4jjjRjjj4R-
-4--------Rj4jj4jjjRjjR4j
--4--------jj4R4jjjRjjj4
jR4--------j--44RjjRjjj4jjj-R
----------4jRjjj4jjRjjj4R-
------4---Rj-j4jjjjRjjR4j
---------4-jj-Rjjj4Rjjj4
jR-----4---j---jRjjR4jj4jjj-R
---------j-jRjjj4jjRjjj4R-
----------Rj4jjjj4jRjjR4j
-----------44-RjjjjRjjjj
4R---------4---4RjjRjjjjjj4-R
-----------4R4jjjjjRj4jjR-
----------R4-j4jjjjRjjRj4
-----------4j-Rj4jjRjjjj
4R------------jjRjjRj4jjjj4;R
RCyRMF8RV0R#NR0ClENOH
MCN3HRsC0D_R0F4N;
H$R#M#_Vl8_HR."d4(n(44n("N;
HsR30FD_sMHoNRlC"DbD_HHM00_#"b;
Rd@@.U:dj(:.:jdU:RddsRFlk_McI8Fs_HLCM#o_CrM04n6:9MRkcF_IsL8_CoHM_M#C06r4:Rn9LC$0_8HMCnGr:;j9
RNH3lsF0DNLCjR"jjjjj4jRj4jj44jjjj;
jjjjjj4Rjjjjjjjjjj;
jjjj4jjRjjjjjjjj4j;
jjjj4j4Rjjjjj4jjjj;
j4jjjjjRjjjjj4jj4j;
j4jjjj4Rjjjjjjj4jj;
j4jj4jjRjjjj4jjjjj;
j4jj4j4Rjjjj4jjj4j;
jjj4jjjRjjjj44jjjj;
jjj4jj4Rjjjj44jj4j;
jjj44jjRjjjj4jj4jj;
jjj44j4Rjjjj4jj44j;
j4j4jjjRjjjj44j4jj;
j4j4jj4Rjjjj44j44j;
j4j44jjRjjjj4j4jjj;
j4j44j4Rjjjj4j4j4j;
jj4jjjjRjjjj444jjj;
jj4jjj4Rjjjj444j4j;
jj4j4jjRjjjj4j44jj;
jj4j4j4Rjjjj4j444j;
j44jjjjRjjjj4444jj;
j44jjj4Rjjjj44444j;
j44j4jjRj44jjjjjjj;
j44j4j4Rj44jjjjj4j;
jj44jjjRj44jj4jjjj;
jj44jj4Rj44jj4jj4j;
jj444jjRj44jjjj4jj;
jj444j4Rj44jjjj44j;
j444jjjRj44jj4j4jj;
j444jj4Rj44jj4j44j;
j4444jjRj44jjj4jjj;
j4444j4Rj44jjj4j4j;
4jjjjjjRj44jj44jjj;
4jjjjj4Rj44jj44j4j;
4jjj4jjRj4444jjjjj;
4jjj4j4Rj4444jjj4j;
44jjjjjRj44444jjjj;
44jjjj4Rj44444jj4j;
44jj4jjRj4444jj4jj;
44jj4j4Rj4444jj44j;
4jj4jjjR4jj4jjjjjj;
4jj4jj4R4jj4jjjj4j;
4jj44jjR4jj4j4jjjj;
4jj44j4R4jj4j4jj4j;
44j4jjjR4j4j4jjjjj;
44j4jj4R4j4j4jjj4j;
44j44jjR4j4j44jjjj;
44j44j4R4j4j44jj4j;
4j4jjjjR4j4j4jj4jj;
4j4jjj4R4j4j4jj44j;
4j4j4jjR4j4j44j4jj;
4j4j4j4R4j4j44j44j;
444jjjjR4j4j4j4jjj;
444jjj4R4j4j4j4j4j;
444j4jjR4j4j444jjj;
444j4j4R4j4j444j4j;
4j44jjjR4j4j4j44jj;
4j44jj4R4j4j4j444j;
4j444jjR4j4j4444jj;
4j444j4R4j4j44444j;
4444jjjR444jjjjjjj;
4444jj4R444jjjjj4j;
44444jjR444jj4jjjj;
44444j4R444jj4jj44;
jjjjjjjR4444jjjjj4;
jjjjjj4R4444jjjj44;
jjjj44jRj4jj4jjjj4;
jjjj444Rj4jj4jjj4";
;R
b@.@d:4dU::c4d:U46sjRFklRMI(_F_s8LMCHoC_#M(0r:Rj9k_M(I8Fs_HLCM#o_CrM0(9:jR0L$CM_H8rCGn9:j;H
NRF3slL0ND"CRjjjjjRjjjjjjj4jj;j
jjjjj4jRjjj44j
j;jjjjjR4jjjjjjjjj;j
jj4jj4jRjjj4jj
j;jjjj4Rjj4j4jj4j4;j
jjjj44jRjjjjjj
j;jjjj4R4jj4444j4j;j
jj4j44jRjjjjjj
4;j4jjjRjjjjjjjjjj;j
jjj4j4jRjjjjj4
4;j4jjjR4jjjjj44jj;j
jj44j4jRjjjjjj
j;j4jj4Rjjjjjjjj4j;j
jjj444jRjjjjjj
j;j4jj4R4jjjjjj444;j
jj4444jRjjjjjj
j;jjj4jRjjjjjjjjjj;j
j4jjj4jRjjjjjj
j;jjj4jR4jjjjjjjj4;j
j44jj4jRjjjjjj
j;jjj44Rjjjjjjjjjj;j
j4jj44jRjj4j44
j;jjj44R4jjjjjj4jj;j
j44j44jRjjjjjj
j;j4j4jRjjjjjjjjjj;j
j4j4j4jRjjjjjj
4;j4j4jR4jjjjjjjjj;j
j444j4jRjjjjjj
j;j4j44Rjjjjjjj4jj;j
j4j444jRjjjjjj
j;j4j44R4jjjjjjjjj;j
j44444jRjjjjjj
4;jj4jjRjjjjjjjjjj;4
jjjjj4jRjjjjjj
j;jj4jjR4jjjjj4jj4;4
jj4jj4jRjjjj44
j;jj4j4Rjjjjjj4jj4;4
jjjj44jRjjjj44
j;jj4j4R4jjjjj4jj4;4
jj4j44jRjjjj44
j;j44jjRjjjj4j4jj4;4
jjj4j44Rjjj444
j;j44jjR4jjj4jj4j4;4
jj44j44Rjjjjj4
j;j44j4Rjjjjjjjjjj;4
jjj444jR4jjjjj
j;j44j4R4jjjjjjjjj;4
jj4444jRjjjjjj
j;jj44jRjj4jjjjjjj;4
j4jjj4jRjjjjjj
j;jj44jR4jjjjjjjjj;4
j44jj4jR4jjjjj
j;jj444Rjjjjjjjjjj;4
j4jj44jRj4jjj4
j;jj444R4jjjjjjjjj;4
j44j44jRjjj4jj
4;j444jRjjjjjjjjjj;4
j4j4j4jRjjjjjj
j;j444jR4jjjj4jjj4;4
j444j4jRjjjjjj
j;j4444Rjjj4jjj4jj;4
j4j444jRj4jjjj
j;j4444R4jjjjjjjjj;4
j44444jRjjjjjj
j;4jjjjRjjjjjjjjjj;j
4jjjj4jRjjjjj4
j;4jjjjR4jjjjjjjjj;j
4j4jj4jRjjjjjj
j;"b;
Rd@@.(:4U::(4:(U4#cR8sVVRDbD_D#O DRbDO_#Db RD#D_O_D oCCMsFN0sb\3D#D_O_D dbR#COO_DF _
RRRRkoMlC_s#_C0HN;
HsR30FD_sMHoNRlC"DbD_D#O
";b@R@d4.:U((::(4U:R4U#V8VsHR#D_D$NCD0s#MRH$DD_0NDCRsM#DHD$#\3H$DD_0NDC_sM.bR#COO_DF _
RRRRkoMlC_s#_C0HN;
HsR30FD_sMHoNRlC"D#HDN$_Ds0CM
";b@R@d..:nUn::n.n:8gRVRVsoDsN_OHMssRoNHD_MROs0CskRO08_ OD_kFRM#4_H$DD_0NDC;sM
RNH3Ds0_HFsolMNCoR"s_NDHsMO"b;
Rd@@.g:.46:4:4.g:R.(NPM8R0MG_DbD_HHM00_#_#j_JGlkNGRM0D_bDM_HH#0_0__j#kJlGbNRD#D_O
D RRRRb_DDH0MH_r#04
9;b@R@dd.:(::Ud.(:jCR8OCF8R4kM_0LH_8HMCjGr:946R4kM_0LH_8HMCjGr:946R0LH_8HMCdGr:;j9
@bR@:d.d:Uj.dc:U.j:6kRlGFRIsL8_CoHM_M#C09rjRsIF8C_LH_Mo#0CMrRj9k_M(I8Fs_HLCM#o_CrM0jR9
RkRRMIc_F_s8LMCHoC_#Mn0r9DRbDM_HH#0_09rd;R
b@.@d::d(U(:d:R.jHRMPk.M4_DbD_D#O 6r49MRk4b._D#D_OrD 4R69k_M4L_H0HCM8G6r49b;
Rd@@.U:djc:.:jdU:R.6lRkGI8Fs_HLCM#o_CrM0g9:4RsIF8C_LH_Mo#0CMr4g:9NRVD,#CV#NDCM,k(F_IsL8_CoHM_M#C0:r(4R9
RkRRMIc_F_s8LMCHoC_#M40r69:(RDbD_HHM00_#r;d9
@bR@:d..:U(UU:.(4:4RkblGDRbD#_O_bFRDOD_#R_F0Csk,DbD_HHM00_#rRc9V#NDCD,bDM_HH#0_09rd
RRRRDVN#bC,DHD_M_H0#.0r9sR0kbC,DHD_M_H0#40r9sR0kbC,DHD_M_H0#j0r9b;
Rd@@.c:dnc:4:ndc:R.jHRMPHCM8GF_OMF0sDk\3Mbg_D#D_ORD HCM8GF_OMF0sDk\3Mbg_D#D_O
D RRRRb_DDOF#_;R
b@.@d:gd6::46d:6gdNjRMR8PLC$0_8HMCjG__l#JkRGNLC$0_8HMCjG__l#JkRGNM_G0b_DDH0MH__#0jJ_#lNkG
RRRR4kM_0L$CM_H8rCGnRd9k_M4LC$0_8HMCnGr.k9RML4_$_0CHCM8G4rn9MRk4$_L0HC_MG8Cr9nj
RRRR4kM_0L$CM_H8rCG6Rg9k_M4LC$0_8HMC6GrUk9RML4_$_0CHCM8G(r69b;
Rd@@.(:dU(:.:Ud(:Rc4FRsPk_M4L_H0HCM8GR_4k_M4L_H0HCM8GR_4k_M4L_H0HCM8G9r6R4kM_0LH_8HMCcGr9R
RRMRk4H_L0M_H8rCGdk9RML4_HH0_MG8CrR.9k_M4L_H0HCM8G9r4R4kM_0LH_8HMCjGr9b;
Rd@@.c:dUc:4:Udc:R.nFRsPHCM8GF_OMF0sDk\3Mb(_D#D_ORD HCM8GF_OMF0sDk\3Mb(_D#D_O
D RRRRb_DD# ODRDbD__O#Fb;
Rd@@.6:d.4:d:.d6:Rc.NR88k_M4L_H0HCM8Gr_.j9:dR4kM_0LH_8HMC.G_rdj:9HRL0M_H8rCGd9:j
RRRRDbD_D#O D,bDO_#Db ,D#D_O,D b_DD# OD;R
b@.@d:Udc::4cd:cU.HnRMkPRMb4_D#D_ORD k_M4b_DD# ODR8HMCOG_FsM0F3D\k_M(b_DD# OD;R
b@.@d:Udc::4cd:cU.NnRMR8PHCM8GF_OMF0sDk\3MbU_D#D_ORD HCM8GF_OMF0sDk\3MbU_D#D_O
D RRRRb_DD# ODR8HMCOG_FsM0F3D\k_Mgb_DD# OD;R
b@.@d:.dn::d4d:n.cNdR8k8RML4_$_0CHCM8G:rjnk9RML4_$_0CHCM8G:rjnL9R$_0CHCM8G:rnjR9
RMRRGb0_DHD_M_H0#j0__l#Jk,GNM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNG,M0D_bDM_HH#0_0__j#kJlGMN,Gb0_DHD_M_H0#j0__l#Jk,GNM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNb;
Rd@@.c:dg6:4:gdc:R.gNPM8R0LH_8HMCjG__l#JkRGNL_H0HCM8G__j#kJlGHNRMG8C_MOF0DsF\M3kUD_bDO_#DR
RkRRML4_HH0_MG8Cr946;R
b@.@d:gdc::46d:cg.NgRMR8PL_H0HCM8G__4#kJlGLNRHH0_MG8C_#4_JGlkNMRH8_CGO0FMs\FD3UkM_DbD_D#O R
RRMRk4b._D#D_OrD 4;69
@bR@:d.d:6nU6:dnR:glRkGLC$0_8HMC6G_r.6:9$RL0HC_MG8C_66r:R.9k_M4LC$0_8HMC4Gr:
c9RRRRV#NDCN,VD,#CV#NDCN,VDR#CLC$0_8HMCjG__l#Jk;GN
@bR@:d.d:ccUc:dcR:gFRsPk_M4L_H0HCM8G__4#kJlGkNRML4_HH0_MG8C_#4_JGlkNHRL0M_H8_CG4J_#lNkG
RRRR4kM_DbD_D#O b;
Rd@@.(:dU(:.:Ud(:Rc4bGlkRDbD_H#8_bFRD#D_8FH_RsIF8C_LH_Mo#0CMr,j9k_M4L_H0HCM8G6r49R
RRFRIsL8_CoHM_M#C09r4,4kM_0LH_8HMC4GrcI9RF_s8LMCHoC_#M.0r9M,k4H_L0M_H8rCG4
d9RRRRI8Fs_HLCM#o_CrM0dk9,ML4_HH0_MG8Cr94.RsIF8C_LH_Mo#0CMr,c9k_M4L_H0HCM8G4r49R
RRFRIsL8_CoHM_M#C09r6,4kM_0LH_8HMC4GrjI9RF_s8LMCHoC_#Mn0r9M,k4H_L0M_H8rCGgR9
RIRRF_s8LMCHoC_#M(0r9M,k4H_L0M_H8rCGUI9RF_s8LMCHoC_#MU0r9M,k4H_L0M_H8rCG(R9
RIRRF_s8LMCHoC_#Mg0r9M,k4H_L0M_H8rCGnV9RNCD#,4kM_0LH_8HMC4G_;H
NRM3H8lCGk4GR;R
b@.@d:64U:4(:U46:n8R#VNVb0LsR$_0CHCM8G:rnjL9R$_0CHCM8G:rnjk9RML4_$_0CHCM8G9rj,0L$CM_H8_CG6:r6.k9,ML4_$_0CHCM8G:r6nR9
R#RRb_COO_D FMRoksl_C0#C_
H;N3HRsCC#00bNRG"GGGGGG
";N3HRsCC#00bNRj"4j4jj4
";N3HRs_0DFosHMCNlR$"L0HC_MG8C"b;
Rd@@.c:dc::Ud:ccglRbkHGRMG8C_MOF0DsF\H3L0M_H8_CGn:rdjH9RMG8C_MOF0DsF\H3L0M_H8_CGn:rdjR9
R0RRs,kC0Csk,k0sCs,0kbC,DOD_#R_FV#NDCs,0k0C,s,kC0Csk,0LH_8HMCjG__l#Jk
GNRRRRk_M4L_H0HCM8Gr_.j9:d,4kM_0LH_8HMC4G__l#Jk;GN
@bR@:d.4:Uc(U:4c6:4RV#8VL#RHH0_MG8Crjd:9HRL0M_H8rCGd9:jR8HMCOG_FsM0F3D\L_H0HCM8Gr_nd9:j
RRRRC#bOD_O R_FolMk_#sCCH0_;H
NR03sDs_FHNoMl"CRL_H0HCM8G
";y--------------------------------
--@
_FS8CM_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kCV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD
#CSOHMss=oNHD_M
OsS#sCCo0=M_klsCC#0
_HSkOFM80_F=MCH_MPsCC#0O
SkCssMP0_NCDkr:d4jk9=Mo4_CsMCNbD_F#sCCd0r49:j;R
b@.@d::d(U(:d:R.jLRkVNlON_VsCO_D FORNNsl_CDVO R_FNlON_VsCO_D Hb;
Rd@@.6:.g6:d:g.6:R6jHRMP#DHD$#\3H$DD_0NDC_sM.HR#D\D$3D#HDN$_Ds0CMR_.#DHD$D_N0MCs;R
b@.@d:n.n:.U:ngn:RPHMR4kM_D#HDN$_Ds0CMMRk4H_#D_D$NCD0s#MRH$DD_0NDC;sM
@bR@:d..:U6..g:Ud6:6MRHPMRk4$_L0HC_MG8Cr:6(nRd9k_M4LC$0_8HMC6Gr(d:n9$RL0HC_MG8Crjn:9b;
Rd@@.(:dd4:d:dd(:Rc.HRMPb_DD# OD_MoCC0sNF3s\b_DD# OD_bdRD#D_O_D oCCMsFN0sb\3D#D_O_D dR
RRDRbDO_#D
;b@R@d6.:6.j:(6:6jg:dRPHMRMoCCDsN_#sCCF0_RMoCCDsN_#sCCF0_RPHM_#sCC
0;b@R@j::44::4.sR0k0CRsRkC0Csk;R
b@:@j4::44R:.V#NDCNRVDR#CV#NDCb;
Rd@@.(:d:dU:(j:.RR8Ob_DD8_NO#O$M_bFRD8D_N#O_$_MOF#;
Rd@@.n:4(::(4:n(4b(RDHD_M_H0#j0r:Rc9y0R#NR0ClENOH
MC3N#00RC#jjjj4jRjjR4jjjj4j4RjjRjj4jjjj#
30CN0_VHMF@R@d..:UUU::U.U:R.jjjjj40R#N
s03N#00HC_MRVF@.@d:(.g:.U:gd(:cjRjjR4j#8CMH_MoH0M#s0kOH
FM3N#00HC_MRVF@.@d:(dj:dU:j.(:(jRj4Rjj#8CMH_Mo8NN0
03#N_0CHFMVRd@@.4:d(::Ud:4(4jgR4jjjR#sC0#
30CN0_VHMF@R@dd.:dUj::jdd:R4g4jjjjFR8M3C
HkMb0lMNCL#R$_0CHCM8G9rjR0L$CM_H8rCG4L9R$_0CHCM8G9r.R0L$CM_H8rCGdL9R$_0CHCM8G9rcR0L$CM_H8rCG639
HkMb0lMNCL#R$_0CHCM8G9rnR0LH_8HMCjGr9HRL0M_H8rCG4L9RHH0_MG8CrR.9L_H0HCM8G9rdRkoMlC_s#_C0HH
3M0bkMCNl#DRbDO_#D3
OODF bR#COO_DF _R#sHH_MoCC8o
-----------j4-RjjjjRj4jj
jRjjjjj-jj-j--44RjjRjj4jjjj-R
---------j-jRjj4jjjR4jjjR-
------jjjjRj4jjj4j4RjjRjj
--------4--jj-Rjj4jR4jjj
jR---------j4--jRj4Rjjjjj4j-R
-----4-----jR4jjjjjRjj4jR-
-------4--Rj-jjj4jjRj4Rjj
-----------jjjRjj4jR4jjj
jR-----j--jjjj4jRjjR4jjjj4j-R
------4--4-jRjj4jjjRjjj4R-
--4-------Rj4jj4jjjRjjR4j
-----4-----jj4R4jjjRjjj4
jR-4-------j--44RjjRjjj4jjj-R
--4------4-jRjj4jjjRjjj4R4
----------Rj4jj4jjjRjjR4j
-4---------jj4R4jjjRjjj4
jR---------j-4-jRjjR4jj4jjj-R
--------4--jRjjj4jjRjjj4R-
--------4-Rj-j4jjjjRjjR4j
-------4---jj-Rjjj4Rjjj4
jR---------j--jjRjjR4jj4jjj-R
---------4-jRjjjjj4Rjjj4R-
----------R4-4jjjjjRjjRj4
-----------4j-R4jjjRjjjj
4R---------4---jRj4Rjjjjjj4-R
-----------4Rjjj4jjRj4jjR-
----------R4-jjjj4jRjjRj4
------------jjRj4jjRjjjj
4R;RRyCRM8F#VR0CN0ROlNECHM
RNH3Ds0CF_0R
4;N#HR$VM_#Hl_8dR".(4n((4n4
";N3HRs_0DFosHMCNlRD"bDM_HH#0_0
";b@R@dd.:U.j:(U:djd:dRlsFRckM_sIF8C_LH_Mo#0CMr:46nk9RMIc_F_s8LMCHoC_#M40r69:nR0L$CM_H8rCGn9:j;H
NRF3slL0ND"CRjjjjjRjj4jjj4j4j4
j;jjjjjRj4jjjjjjjjj
j;jjjjjR4jjjjjjjjjj
4;jjjjjR44jjjjjjjj4
j;jjjj4Rjjjjjjjjjj4
4;jjjj4Rj4jjjjj4jjj
j;jjjj4R4jjjjjjj4jj
j;jjjj4R44jjjjjj4jj
4;j4jjjRjjjjjjjj4j4
j;j4jjjRj4jjjjjj4j4
4;j4jjjR4jjjjjj44jj
j;j4jjjR44jjjjj44jj
4;j4jj4Rjjjjjjj44j4
j;j4jj4Rj4jjjjj44j4
4;j4jj4R4jjjjjjj44j
j;j4jj4R44jjjjjj44j
4;jjj4jRjjjjjjjj444
j;jjj4jRj4jjjjjj444
4;jjj4jR4jjjjjj444j
j;jjj4jR44jjjjj444j
4;jjj44Rjjjjjjj4444
j;jjj44Rj4jjjjj4444
4;jjj44R4jjjj44jjjj
j;jjj44R44jjj44jjjj
4;j4j4jRjjjjj44jjj4
j;j4j4jRj4jjj44jjj4
4;j4j4jR4jjjj444jjj
j;j4j4jR44jjj444jjj
4;j4j44Rjjjjj444jj4
j;j4j44Rj4jjj444jj4
4;j4j44R4jjjj44jj4j
j;j4j44R44jjj44jj4j
4;jj4jjRjjjjj44jj44
j;jj4jjRj4jjj44jj44
4;jj4jjR4jj4j44j4jj
j;jj4jjR44j4j44j4jj
4;jj4j4Rjjj4j44j4j4
j;jj4j4Rj4j4j44j4j4
4;jj4j4R4jj4j4444jj
j;jj4j4R44j4j4444jj
4;j44jjRjjj44jjjjjj
j;j44jjRj4j44jjjjjj
4;j44jjR4jj44jjjjj4
j;j44jjR44j44jjjjj4
4;j44j4Rjjjj44jj4jj
j;j44j4Rj4jj44jj4jj
4;j44j4R4jjj44jj4j4
j;j44j4R44jj44jj4j4
4;jj44jRjjjj44j44jj
j;jj44jRj4jj44j44jj
4;jj44jR4jjj44j44j4
j;jj44jR44jj44j44j4
4;jj444Rjjjj44jj44j
j;jj444Rj4jj44jj44j
4;jj444R4jjj44jj444
j;jj444R44jj44jj444
4;j444jRjjjj44j444j
j;j444jRj4jj44j444j
4;j444jR4jjj44j4444
j;j444jR44jj44j4444
4;j4444Rjjjj444jjjj
j;j4444Rj4jj444jjjj
4;j4444R4jjj444jjj4
j;j4444R44jj444jjj4
4;4jjjjRjjj4444jjjj
j;4jjjjRj4j4444jjjj
4;4jjjjR4j4jjj4j4jj
j;4jjjjR444jjj4j4jj
4;"b;
Rd@@.U:d44:c:4dU:R6jsRFlk_M(I8Fs_HLCM#o_CrM0(9:jR(kM_sIF8C_LH_Mo#0CMrj(:9$RL0HC_MG8Crjn:9N;
HsR3FNl0LRDC"jjjjjjjRjjjjjjj4j;
jjjjjj4Rj4j4j;jj
jjjjjj4Rjjjjjjjjj;
jjjj4j4Rjjj4j;jj
jjjjj4jRj44j4jj4j;
j4jjjj4Rjjjjj;jj
jjjjj44R4j44j44jj;
j4jj4j4Rjjjjj;j4
jjj4jjjRjjjjjjjjj;
jjj4jj4Rjjjjj;44
jjj4jj4Rjjjjj4j4j;
jjj44j4Rjjjjj;jj
jjj4j4jRjjjjjj4jj;
j4j4jj4Rjjjjj;jj
jjj4j44Rjjjj4j44j;
j4j44j4Rjjjjj;jj
4jjjjjjRjjjjjjjjj;
jj4jjj4Rjjjjj;jj
4jjjjj4Rjjjj4jjjj;
jj4j4j4Rjjjjj;jj
4jjjj4jRjjjjjjjjj;
j44jjj4Rj4jj4;4j
4jjjj44Rjjjjjjj4j;
j44j4j4Rjjjjj;jj
4jj4jjjRjjjjjjjjj;
jj44jj4Rjjjjj;j4
4jj4jj4Rjjjjjjjjj;
jj444j4Rjjjjj;jj
4jj4j4jRjjjjjjj4j;
j444jj4Rjjjjj;jj
4jj4j44Rjjjjjjjjj;
j4444j4Rjjjjj;j4
jj4jjjjRjjjjjjjjj;
4jjjjj4Rjjjjj;jj
jj4jjj4Rjjjj44jjj;
4jjj4j4Rj4jjj;4j
jj4jj4jRjjjj44jjj;
44jjjj4Rj4jjj;4j
jj4jj44Rjjjj44jjj;
44jj4j4Rj4jjj;4j
jj44jjjRjj4j44jjj;
4jj4jj4R44j4j;4j
jj44jj4Rjj4j4jj4j;
4jj44j4R4jjjj;4j
jj44j4jRjjjjjjjjj;
44j4j44Rjjjjj;jj
jj44j44Rjjjjjjjjj;
44j44j4Rjjjjj;jj
4j4jjjjRj4jjjjjjj;
4j4jjj4Rjjjjj;jj
4j4jjj4Rjjjjjjjjj;
4j4j444Rjjjjj;jj
4j4jj4jRjjjjjjjjj;
444jjj4Rjj4jj;4j
4j4jj44Rjjjjjjjjj;
444j4j4Rjjj4j;j4
4j44jjjRjjjjjjjjj;
4j44jj4Rjjjjj;jj
4j44jj4R4jjj4jjjj;
4j444j4Rjjjjj;jj
4j44j4jRjjj4jjj4j;
4444jj4Rjj4jj;jj
4j44j44Rjjjjjjjjj;
44444j4Rjjjjj;jj
j4jjjjjRjjjjjjjj4;
jjjjjj4Rjjjjj;4j
j4jjjj4Rjjjjjjjj4;
jjjj4j4Rjjjjj;jj
";b@R@d4.:((U::U4(:R4c#V8VsDRbDO_#Db RD#D_ORD b_DD# OD_MoCC0sNF3s\b_DD# OD_#dRb_COO_D FR
RRMRoksl_C0#C_
H;N3HRs_0DFosHMCNlRD"bDO_#D; "
@bR@:d.4:U((U:4(U:4RV#8V#sRH$DD_0NDCRsM#DHD$D_N0MCsRD#HD3$\#DHD$D_N0MCs_#.Rb_COO_D FR
RRMRoksl_C0#C_
H;N3HRs_0DFosHMCNlRH"#D_D$NCD0s;M"
@bR@:d..:nnUn:.nR:g8sVVRNosDM_HOosRs_NDHsMORk0sC8R0OD_O R_Fk_M4#DHD$D_N0MCs;H
NR03sDs_FHNoMl"CRoDsN_OHMs
";b@R@d..:g44:6g:.4(:.R8NMPGRM0D_bDM_HH#0_0__j#kJlGMNRGb0_DHD_M_H0#j0__l#JkRGNb_DD# OD
RRRRDbD_HHM00_#r;49
@bR@:d.dU(:::d(.8jRC8OFCMRk4H_L0M_H8rCGj6:49MRk4H_L0M_H8rCGj6:49HRL0M_H8rCGd9:j;R
b@.@d:jdU::.cd:Uj.l6RkIGRF_s8LMCHoC_#Mj0r9FRIsL8_CoHM_M#C09rjR(kM_sIF8C_LH_Mo#0CMr
j9RRRRk_McI8Fs_HLCM#o_CrM0nb9RDHD_M_H0#d0r9b;
Rd@@.(:d:dU:(j:.RPHMR4kM.D_bDO_#D4 r6k9RM_4.b_DD# ODr946R4kM_0LH_8HMC4Gr6
9;b@R@dd.:U.j:cU:dj6:.RGlkRsIF8C_LH_Mo#0CMr4g:9FRIsL8_CoHM_M#C0:rg4V9RNCD#,DVN#kC,MI(_F_s8LMCHoC_#M(0r:
49RRRRk_McI8Fs_HLCM#o_CrM04(6:9DRbDM_HH#0_09rd;R
b@.@d:(.U:.U:U4(:4lRbkbGRDOD_#R_Fb_DDOF#_Rk0sCD,bDM_HH#0_09rcRDVN#bC,DHD_M_H0#d0r9R
RRNRVD,#Cb_DDH0MH_r#0.09Rs,kCb_DDH0MH_r#0409Rs,kCb_DDH0MH_r#0j
9;b@R@dd.:c4n:cc:dnj:.RPHMR8HMCOG_FsM0F3D\k_Mgb_DD# ODR8HMCOG_FsM0F3D\k_Mgb_DD# OD
RRRRDbD__O#Fb;
Rd@@.6:dg6:4:gd6:RdjNPM8R0L$CM_H8_CGjJ_#lNkGR0L$CM_H8_CGjJ_#lNkGR0MG_DbD_HHM00_#_#j_JGlkNR
RRMRk4$_L0HC_MG8Cr9ndR4kM_0L$CM_H8rCGnR.9k_M4LC$0_8HMCnGr4k9RML4_$_0CHCM8Gjrn9R
RRMRk4$_L0HC_MG8Cr96gR4kM_0L$CM_H8rCG6RU9k_M4LC$0_8HMC6Gr(
9;b@R@dd.:(.U:((:dU4:cRPFsR4kM_0LH_8HMC4G_R4kM_0LH_8HMC4G_R4kM_0LH_8HMC6Gr9MRk4H_L0M_H8rCGcR9
RkRRML4_HH0_MG8CrRd9k_M4L_H0HCM8G9r.R4kM_0LH_8HMC4Gr9MRk4H_L0M_H8rCGj
9;b@R@dd.:c4U:cc:dUn:.RPFsR8HMCOG_FsM0F3D\k_M(b_DD# ODR8HMCOG_FsM0F3D\k_M(b_DD# OD
RRRRDbD_D#O DRbD#_O_
F;b@R@dd.:6d.:46:d..:cR8N8R4kM_0LH_8HMC.G_rdj:9MRk4H_L0M_H8_CG.:rjdL9RHH0_MG8Crjd:9R
RRDRbDO_#Db ,D#D_O,D b_DD# OD,DbD_D#O b;
Rd@@.c:dUc:4:Udc:R.nHRMPk_M4b_DD# ODR4kM_DbD_D#O MRH8_CGO0FMs\FD3(kM_DbD_D#O b;
Rd@@.c:dUc:4:Udc:R.nNPM8R8HMCOG_FsM0F3D\k_MUb_DD# ODR8HMCOG_FsM0F3D\k_MUb_DD# OD
RRRRDbD_D#O MRH8_CGO0FMs\FD3gkM_DbD_D#O b;
Rd@@.n:d.4:d:.dn:RcdNR88k_M4LC$0_8HMCjGr:Rn9k_M4LC$0_8HMCjGr:Rn9LC$0_8HMCnGr:
j9RRRRM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNG,M0D_bDM_HH#0_0__j#kJlGMN,Gb0_DHD_M_H0#j0__l#Jk,GNM_G0b_DDH0MH__#0jJ_#lNkG,0MG_DbD_HHM00_#_#j_JGlkNG,M0D_bDM_HH#0_0__j#kJlG
N;b@R@dd.:c4g:6c:dgg:.R8NMPHRL0M_H8_CGjJ_#lNkGR0LH_8HMCjG__l#JkRGNHCM8GF_OMF0sDk\3MbU_D#D_O
D RRRRk_M4L_H0HCM8G6r49b;
Rd@@.c:dg6:4:gdc:R.gNPM8R0LH_8HMC4G__l#JkRGNL_H0HCM8G__4#kJlGHNRMG8C_MOF0DsF\M3kUD_bDO_#DR
RkRRM_4.b_DD# ODr946;R
b@.@d:nd6:dU:6gn:RGlkR0L$CM_H8_CG6:r6.L9R$_0CHCM8Gr_669:.R4kM_0L$CM_H8rCG49:c
RRRRDVN#VC,NCD#,DVN#VC,NCD#R0L$CM_H8_CGjJ_#lNkG;R
b@.@d:cdc:dU:cgc:RPFsR4kM_0LH_8HMC4G__l#JkRGNk_M4L_H0HCM8G__4#kJlGLNRHH0_MG8C_#4_JGlkNR
RRMRk4D_bDO_#D
;b@R@dd.:(.U:((:dU4:cRkblGDRbD8_#HR_Fb_DD#_8HFFRIsL8_CoHM_M#C09rj,4kM_0LH_8HMC4Gr6R9
RIRRF_s8LMCHoC_#M40r9M,k4H_L0M_H8rCG4Rc9I8Fs_HLCM#o_CrM0.k9,ML4_HH0_MG8Cr94d
RRRRsIF8C_LH_Mo#0CMr,d9k_M4L_H0HCM8G.r49FRIsL8_CoHM_M#C09rc,4kM_0LH_8HMC4Gr4R9
RIRRF_s8LMCHoC_#M60r9M,k4H_L0M_H8rCG4Rj9I8Fs_HLCM#o_CrM0nk9,ML4_HH0_MG8Cr
g9RRRRI8Fs_HLCM#o_CrM0(k9,ML4_HH0_MG8CrRU9I8Fs_HLCM#o_CrM0Uk9,ML4_HH0_MG8Cr
(9RRRRI8Fs_HLCM#o_CrM0gk9,ML4_HH0_MG8CrRn9V#NDCM,k4H_L0M_H8_CG4N;
HHR3MG8ClRkG4b;
Rd@@.U:46::(4:U64#nR8bVVNR0sLC$0_8HMCnGr:Rj9LC$0_8HMCnGr:Rj9k_M4LC$0_8HMCjGr9$,L0HC_MG8C_66r:,.9k_M4LC$0_8HMC6Gr:
n9RRRR#ObC_ OD_oFRM_klsCC#0;_H
RNH3#sCCN0b0GR"GGGGG;G"
RNH3#sCCN0b04R"jjjj4;4"
RNH3Ds0_HFsolMNCLR"$_0CHCM8G
";b@R@dd.:cUc::cdc:bgRlRkGHCM8GF_OMF0sDL\3HH0_MG8C_dnr:Rj9HCM8GF_OMF0sDL\3HH0_MG8C_dnr:
j9RRRR0Csk,k0sCs,0k0C,s,kCb_DDOF#_RDVN#0C,s,kC0Csk,k0sCH,L0M_H8_CGjJ_#lNkG
RRRR4kM_0LH_8HMC.G_rdj:9M,k4H_L0M_H8_CG4J_#lNkG;R
b@.@d:c4U:4(:U4c:68R#VRV#L_H0HCM8G:rdjL9RHH0_MG8Crjd:9MRH8_CGO0FMs\FD30LH_8HMCnG_rjd:9R
RRbR#COO_DF _RkoMlC_s#_C0HN;
HsR30FD_sMHoNRlC"0LH_8HMC;G"
-y---------------------------------
@
ftell;
@E@MR@:4U4(6:::464IURFRs VCsC_kOFMs0CRDs0;P
NR#3HPDE8R
......@@ -997,73 +996,71 @@ bS#COO_DH _=C#bOD_O
_HSO08_ OD_Hb_=O08_ OD_Hb_
8S0OD_O __MH8=0OD_O __MHN
SO_NlsOCVDF _=NNOlC_sV OD
CSoMNCsDC_s#_C0FC=oMNCsDC_s#
C0SDbD__O#FD=bD#_O
DSbDN_8O$_#MFO_=DbD_O8N_M#$O
_FSDbD_H#8_bF=D#D_8SH
b_DD# OD_bF=D#D_O
D SC#bOD_O =_F#ObC_ OD
CSoMNCsDC_s#_C0Fb=#CNO_k_GcFb
SDOD_#=_Fb_DDOS#
b_DD8_NO#O$M_bF=D8D_N#O_$_MOFb
SD#D_8FH_=DbD_H#8
DSbDO_#DF _=DbD_D#O
_FSC#bOD_O =_F#ObC_ OD
8S0OD_O =_FO;D
@sR@:dn.:(.c(:..U:4RsIF sRVCOC_F0kMCssR00DR8DO_CO8_F0kMCSs
O=D O
D SNCML=DC0Csk
CSs#=C0oCCMs_NDsCC#0#
S00Ns_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,k0sCs,0k0C,s,kCV#NDCs,0k0C,s,kC0Csk,DVN#VC,NCD#,k0sCs,0kVC,NCD#,k0sCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,k0sCN,VD,#CV#NDCN,VD,#CV#NDCN,VD
#CSkOFM80_F=MC0_8OD_C8OMFk0F_8MSC
OsksC_M0PkNDC4rd:=j9k_M40_8OD_C8OMFk0rCsdj4:9s;
Rd@@nU:.n::c.:Un.IdRFRs VCsC_kOFMs0CRDs0RC#bOC_D8C_s8F_OkCM0sO
SD# =b_COO
D SNCML=DC0Csk
CSs#=C0#ObC_GNk6
_FSN#0sP0_NCDkr:d4jV9=NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,k0sCN,VD,#CV#NDCs,0k0C,s,kCV#NDCN,VD,#CV#NDCs,0kVC,NCD#,DVN#0C,s,kCV#NDCs,0k0C,s,kCV#NDCs,0kVC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#SC
OMFk0F_8M#C=b_COD_C8OMFk0F_8MSC
OsksC_M0PkNDC4rd:=j9k_M4#ObC_8DC_8sC_kOFMs0Cr:d4j
9;b@R@dnn:c::Un4c:6kRLVbR#CDO_Co8_sMCC_#FRb_COD_C8oCsCMR_Fb_DDDH8_;R
b@n@d:c.n::d4.:ncdLnRkbVRDOD_#R_Fb_DDOF#_RDbD_;O#
@bR@:dn.:ncd.4:ndc:nkRLVbR#CNO_k_GcFbR#CNO_k_GcFDRbD#_O;R
b@n@d:n.n::d4.:nndL(RkbVRD#D_8FH_RDbD_H#8_bFRD#D_8
H;b@R@d.n:ndn:4n:.n(:dRVLkRC#bOk_NGFd_RC#bOk_NGFd_RDbD_H#8;R
b@n@d:(.n::d4.:n(dLURkbVRD#D_O_D FDRbDO_#DF _RDbD_D#O b;
Rd@@nn:.(4:d:(.n:RdULRkV#ObC_GNk.R_F#ObC_GNk.R_Fb_DD# OD;R
b@n@d:6dj::d6d:j66H.RM0PR8DO_C38\0_8OD_C8#00Nk.#_RO08_8DC\830OC_D80_#N#0k_0.R8DO_C#8_0kN0#b;
Rd@@n4:d66:d:6d4:R6jHRMP#ObC_8DC\b3#CDO_Cs8_C48_RC#bOC_D8#\3b_COD_C8s_C84bR#CDO_Cs8_C
8;b@R@ddn:.6d:j.:ddc:nRPHMRdkM_koMlC_s#RC0k_MdolMk_#sCC#0Rb_CON4kG_
H;b@R@ddn:.dd:..:ddc:cRPHMR6kM_koMlC_s#RC0k_M6olMk_#sCCs0R#M0__HN_;R
b@n@d:ddc::d4d:cdcH6RM0PR8DO_C08_sdHo_4F_RO08_8DC_H0soFd__#4Rb_CONjkG_
H;b@R@j::44::4.sR0k0CRsRkC0Csk;R
b@:@j4::44R:.V#NDCNRVDR#CV#NDCb;
Rj@@:44::.4:RR8O88OROb;
Rd@@nn:d:dU:nn:4RR8ONlON_sCsVoDN_NbRO_NlCVssD_Nobb;
Rd@@nj:4j::U4:jj.8.RV0VR8DO_C08_s4Ho_0FR8DO_C08_s4Ho_#FRb_CONjkG_#HRb_COO;D
RNH3Ds0_HFsolMNC0R"8DO_C08_s4Ho_;F"
@bR@:dn4:j4Uj:44.:.RV8VRO08_8DC_H0soF._RO08_8DC_H0soF._RC#bOk_NGHj_R OD;H
NR03sDs_FHNoMl"CR0_8OD_C80osH."_F;R
b@n@d:ddc::d4d:cdcL6Rk0VR8DO_C08_sdHo_0FR8DO_C08_sdHo_0FR8DO_C08_sdHo_4F_;R
b@n@d:ddc::d4d:cdcL6Rk0VR8DO_C08_scHo_0FR8DO_C08_scHo_0FR8DO_C08_sdHo_4F_;R
b@n@d:ddc::d4d:cdcL6Rk0VR8DO_C08_s6Ho_0FR8DO_C08_s6Ho_0FR8DO_C08_sdHo_4F_;R
b@:@j4::44R:.LRkV0lCs__CM4R_F0lCs__CM4R_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CM.R_F0lCs__CM.R_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CMdR_F0lCs__CMdR_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CMcR_F0lCs__CMcR_F0Csk;R
b@:@j4::44R:.LRkV0lCs__CM6R_F0lCs__CM6R_F0Csk;R
b@n@d::dnUn:d:R4nLRkVOM#__OFR#__MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVH_sJbR_FH_sJbR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbD_O __MF.RDbD_O __MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bO_D bR_FD_.bO_D bR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbV_8sCNl_DFR.8b_VlsNCR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDb8_CLR_FD_.bC_8LFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bPHND8R_FD_.bPHND8R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVCRF_FM_R_FCMR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RbD8_s$R_Fb_.Ds_8$FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVsM8__sFR8__MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVsCG_sssF_sFRGs_Cs_FsFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#sbNCR_F#sbNCR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV0R#N_s08_H#F0R#N_s08_H#FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#s0N0s_VFVl_b_oNF0R#N_s0VlsF_oVbNR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV0R#F8b_HF#_RF#0bH_8#R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVsRI_FM_R_IsMR_FNlON_sCsVoDN_
b;b@R@d4n:U(g::g4U:R.j#V8Vs0CR8DO_C#8_0kN0#8R0OC_D80_#N#0kRO08_8DC\830OC_D80_#N#0k_R.
RORRDo RCsMCNsD_C0#CRO08_8DC_kOFM80_F;MC
CSs#=C0#ObC_GNkc
_FSN#0sP0_NCDkr:d4jV9=NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kC0Csk,k0sCN,VD,#C0Csk,k0sCs,0kVC,NCD#,DVN#0C,s,kC0Csk,DVN#0C,s,kCV#NDCs,0k0C,s,kCV#NDCN,VD,#C0Csk,DVN#0C,s,kCV#NDCN,VD,#CV#NDCN,VD,#CV#NDCO
SF0kM_M8FC8=0OC_D8F_Ok_M08CFM
kSOsMsC0N_PDrkCdj4:9M=k48_0OC_D8F_OkCM0s4rd:;j9
@sR@:dn.:UncU:.nd:.RsIF sRVCOC_F0kMCssR0#DRb_COD_C8s_C8OMFk0
CsS OD=C#bOD_O C
SMDNLCs=0kSC
sCC#0b=#CNO_k_G6F#
S00Ns_DPNkdCr49:j=DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kCV#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCN,VD,#C0Csk,DVN#VC,NCD#,k0sCN,VD,#C0Csk,k0sCN,VD,#C0Csk,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#
FSOk_M08CFM=C#bOC_D8F_Ok_M08CFM
kSOsMsC0N_PDrkCdj4:9M=k4b_#CDO_Cs8_CO8_F0kMCdsr49:j;R
b@n@d::ncUc:n:R46LRkV#ObC_8DC_CosCFM_RC#bOC_D8s_oC_CMFDRbD8_D_
H;b@R@d.n:ndc:4n:.cn:dRVLkRDbD__O#FDRbD#_O_bFRDOD_#b;
Rd@@nn:.c4:d:c.n:RdnLRkV#ObC_GNkdR_F#ObC_GNkdR_Fb_DDO
#;b@R@d.n:ndn:4n:.n(:dRVLkRDbD_H#8_bFRD#D_8FH_RDbD_H#8;R
b@n@d:n.n::d4.:nndL(Rk#VRb_CON.kG_#FRb_CON.kG_bFRD#D_8
H;b@R@ddn:jd6:6j:d6.:6RPHMRO08_8DC\830OC_D80_#N#0k_0.R8DO_C38\0_8OD_C8#00Nk.#_RO08_8DC_N#00;k#
@bR@:dnd:46dd6:466:jMRHPbR#CDO_C38\#ObC_8DC_8sC_#4Rb_COD\C83C#bOC_D8C_s8R_4#ObC_8DC_8sC;R
b@n@d:dd.::6jd:.dnHcRMkPRMod_M_klsCC#0MRkdM_oksl_C0#CRC#bOk_NGH4_;R
b@n@d:dd.::d.d:.dcHcRMkPRMo6_M_klsCC#0MRk6M_oksl_C0#CR0s#_NM__
H;b@R@ddn:cdd:4c:dd6:cRPHMRO08_8DC_H0soFd__04R8DO_C08_sdHo_4F_RC#bOk_NGHj_;R
b@:@j4::44R:.0CskRk0sCsR0k
C;b@R@j::44::4.NRVDR#CV#NDCNRVD;#C
@bR@4j::44::8.ROOR8R;8O
@bR@:dndUn:::dn48nROORNNCl_sDsVNbo_RNNOls_CsNVDo;_b
@bR@:dn4:jjUj:4j.:.RV8VRO08_8DC_H0soF4_RO08_8DC_H0soF4_RC#bOk_NGHj_RC#bOD_O N;
HsR30FD_sMHoNRlC"O08_8DC_H0soF4_"b;
Rd@@nj:44::U4:j4.8.RV0VR8DO_C08_s.Ho_0FR8DO_C08_s.Ho_#FRb_CONjkG_OHRD
;N3HRs_0DFosHMCNlR8"0OC_D8s_0H_o.F
";b@R@ddn:cdd:4c:dd6:cRVLkRO08_8DC_H0soFd_RO08_8DC_H0soFd_RO08_8DC_H0soFd__
4;b@R@ddn:cdd:4c:dd6:cRVLkRO08_8DC_H0soFc_RO08_8DC_H0soFc_RO08_8DC_H0soFd__
4;b@R@ddn:cdd:4c:dd6:cRVLkRO08_8DC_H0soF6_RO08_8DC_H0soF6_RO08_8DC_H0soFd__
4;b@R@j::44::4.kRLVCR0sCl_M__4FCR0sCl_M__4FsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__.FCR0sCl_M__.FsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__dFCR0sCl_M__dFsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__cFCR0sCl_M__cFsR0k
C;b@R@j::44::4.kRLVCR0sCl_M__6FCR0sCl_M__6FsR0k
C;b@R@ddn:n::Ud4n:nkRLV#RO_FM_R_O#MR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVsRHJ__bFsRHJ__bFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bO_D MR_FD_.bO_D MR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbD_O __bF.RDbD_O __bFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.b8NVslFC_RbD._s8VN_lCFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVD_.bC_8LF.RDb8_CLR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV.RDbN_PD_H8F.RDbN_PD_H8FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVFMC__FFRC__MFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVb_.Ds_8$F.RbD8_s$R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV8Rs_FM_R_s8MR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVGRs_sCsFFs_R_sGCFsssR_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLVbR#N_sCFbR#N_sCFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#s0N0H_8#R_F#s0N0H_8#R_FNlON_sCsVoDN_
b;b@R@ddn:n::Ud4n:nkRLV0R#N_s0VlsF_oVbNR_F#s0N0s_VFVl_b_oNFORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkV#b0F_#8H_#FR0_Fb8_H#FORNNCl_sDsVNbo_;R
b@n@d::dnUn:d:R4nLRkVIMs__IFRs__MFORNNCl_sDsVNbo_;R
b@n@d:g4U:4(:U.g:j8R#VCVsRO08_8DC_N#00Rk#0_8OD_C8#00Nk0#R8DO_C38\0_8OD_C8#00Nk.#_
RRRR ODRC#bOk_NGFc_RO08_8DC_kOFM80_F;MC
RNH3Ds0_HFsolMNC0R"8DO_C#8_0kN0#
";b@R@ddn:.dd:..:ddc:nRPFsRC#bOk_NGF6_RC#bOk_NGF6_RdkM_koMlC_s#RC0k_M6olMk_#sCC
0;b@R@d4n:U(U::U4U:R4U#V8Vs#CRb_COD_C8sRC8#ObC_8DC_8sCRC#bOC_D8#\3b_COD_C8s_C84bR#COO_DR
......
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Fri Jul 15 19:31:20 2011">
<application stringID="NgdBuild" timeStamp="Mon Jul 18 09:44:16 2011">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -80,9 +80,9 @@
<item dataType="int" stringID="NGDBUILD_NUM_DSP48A1" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="13"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="23"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="22"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRE" value="34"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDS" value="21"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDS" value="22"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="72"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUFDS" value="1"/>
......@@ -90,16 +90,16 @@
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="32"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1_L" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="14"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2_L" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="16"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_L" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5_L" value="34"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="17"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_L" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT5_L" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="30"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6_2" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6_L" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6_L" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY_L" value="70"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="60"/>
<item dataType="int" stringID="NGDBUILD_NUM_RAMB8BWER" value="1"/>
......
......@@ -5,33 +5,33 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<DeviceUsageSummary rev="2">
<DesignStatistics TimeStamp="Fri Jul 15 19:32:20 2011"><group name="NetStatistics">
<DesignStatistics TimeStamp="Mon Jul 18 09:45:17 2011"><group name="NetStatistics">
<item name="NumNets_Active" rev="2">
<attrib name="value" value="472"/></item>
<attrib name="value" value="490"/></item>
<item name="NumNets_Gnd" rev="2">
<attrib name="value" value="1"/></item>
<item name="NumNets_Vcc" rev="2">
<attrib name="value" value="1"/></item>
<item name="NumNodesOfType_Active_BOUNCEACROSS" rev="2">
<attrib name="value" value="13"/></item>
<attrib name="value" value="8"/></item>
<item name="NumNodesOfType_Active_BOUNCEIN" rev="2">
<attrib name="value" value="37"/></item>
<attrib name="value" value="39"/></item>
<item name="NumNodesOfType_Active_BUFGOUT" rev="2">
<attrib name="value" value="2"/></item>
<item name="NumNodesOfType_Active_BUFHINP2OUT" rev="2">
<attrib name="value" value="10"/></item>
<attrib name="value" value="12"/></item>
<item name="NumNodesOfType_Active_CLKPIN" rev="2">
<attrib name="value" value="38"/></item>
<attrib name="value" value="54"/></item>
<item name="NumNodesOfType_Active_CLKPINFEED" rev="2">
<attrib name="value" value="12"/></item>
<attrib name="value" value="14"/></item>
<item name="NumNodesOfType_Active_CNTRLPIN" rev="2">
<attrib name="value" value="41"/></item>
<attrib name="value" value="64"/></item>
<item name="NumNodesOfType_Active_DOUBLE" rev="2">
<attrib name="value" value="230"/></item>
<attrib name="value" value="321"/></item>
<item name="NumNodesOfType_Active_GENERIC" rev="2">
<attrib name="value" value="25"/></item>
<item name="NumNodesOfType_Active_GLOBAL" rev="2">
<attrib name="value" value="67"/></item>
<attrib name="value" value="78"/></item>
<item name="NumNodesOfType_Active_INPUT" rev="2">
<attrib name="value" value="30"/></item>
<item name="NumNodesOfType_Active_IOBIN2OUT" rev="2">
......@@ -39,35 +39,35 @@
<item name="NumNodesOfType_Active_IOBOUTPUT" rev="2">
<attrib name="value" value="19"/></item>
<item name="NumNodesOfType_Active_LUTINPUT" rev="2">
<attrib name="value" value="567"/></item>
<attrib name="value" value="655"/></item>
<item name="NumNodesOfType_Active_OUTBOUND" rev="2">
<attrib name="value" value="299"/></item>
<attrib name="value" value="319"/></item>
<item name="NumNodesOfType_Active_OUTPUT" rev="2">
<attrib name="value" value="271"/></item>
<attrib name="value" value="290"/></item>
<item name="NumNodesOfType_Active_PADINPUT" rev="2">
<attrib name="value" value="16"/></item>
<item name="NumNodesOfType_Active_PADOUTPUT" rev="2">
<attrib name="value" value="7"/></item>
<item name="NumNodesOfType_Active_PINBOUNCE" rev="2">
<attrib name="value" value="104"/></item>
<attrib name="value" value="106"/></item>
<item name="NumNodesOfType_Active_PINFEED" rev="2">
<attrib name="value" value="671"/></item>
<attrib name="value" value="788"/></item>
<item name="NumNodesOfType_Active_PINFEED2" rev="2">
<attrib name="value" value="6"/></item>
<item name="NumNodesOfType_Active_QUAD" rev="2">
<attrib name="value" value="298"/></item>
<attrib name="value" value="371"/></item>
<item name="NumNodesOfType_Active_REGINPUT" rev="2">
<attrib name="value" value="11"/></item>
<item name="NumNodesOfType_Active_SINGLE" rev="2">
<attrib name="value" value="369"/></item>
<attrib name="value" value="407"/></item>
<item name="NumNodesOfType_Gnd_BOUNCEIN" rev="2">
<attrib name="value" value="20"/></item>
<attrib name="value" value="22"/></item>
<item name="NumNodesOfType_Gnd_DOUBLE" rev="2">
<attrib name="value" value="19"/></item>
<item name="NumNodesOfType_Gnd_GENERIC" rev="2">
<attrib name="value" value="40"/></item>
<item name="NumNodesOfType_Gnd_HGNDOUT" rev="2">
<attrib name="value" value="7"/></item>
<attrib name="value" value="8"/></item>
<item name="NumNodesOfType_Gnd_INPUT" rev="2">
<attrib name="value" value="170"/></item>
<item name="NumNodesOfType_Gnd_IOBIN2OUT" rev="2">
......@@ -81,15 +81,15 @@
<item name="NumNodesOfType_Gnd_PADINPUT" rev="2">
<attrib name="value" value="40"/></item>
<item name="NumNodesOfType_Gnd_PINBOUNCE" rev="2">
<attrib name="value" value="45"/></item>
<attrib name="value" value="43"/></item>
<item name="NumNodesOfType_Gnd_PINFEED" rev="2">
<attrib name="value" value="191"/></item>
<attrib name="value" value="194"/></item>
<item name="NumNodesOfType_Gnd_SINGLE" rev="2">
<attrib name="value" value="24"/></item>
<item name="NumNodesOfType_Vcc_GENERIC" rev="2">
<attrib name="value" value="5"/></item>
<item name="NumNodesOfType_Vcc_HVCCOUT" rev="2">
<attrib name="value" value="29"/></item>
<attrib name="value" value="31"/></item>
<item name="NumNodesOfType_Vcc_INPUT" rev="2">
<attrib name="value" value="17"/></item>
<item name="NumNodesOfType_Vcc_IOBIN2OUT" rev="2">
......@@ -99,13 +99,13 @@
<item name="NumNodesOfType_Vcc_KVCCOUT" rev="2">
<attrib name="value" value="5"/></item>
<item name="NumNodesOfType_Vcc_LUTINPUT" rev="2">
<attrib name="value" value="67"/></item>
<attrib name="value" value="69"/></item>
<item name="NumNodesOfType_Vcc_PADINPUT" rev="2">
<attrib name="value" value="5"/></item>
<item name="NumNodesOfType_Vcc_PINBOUNCE" rev="2">
<attrib name="value" value="5"/></item>
<item name="NumNodesOfType_Vcc_PINFEED" rev="2">
<attrib name="value" value="85"/></item>
<attrib name="value" value="87"/></item>
<item name="NumNodesOfType_Vcc_REGINPUT" rev="2">
<attrib name="value" value="1"/></item>
</group>
......@@ -117,9 +117,9 @@
<item name="IOB-IOBS" rev="2">
<attrib name="value" value="66"/></item>
<item name="SLICEL-SLICEM" rev="2">
<attrib name="value" value="16"/></item>
<attrib name="value" value="10"/></item>
<item name="SLICEX-SLICEL" rev="2">
<attrib name="value" value="9"/></item>
<attrib name="value" value="17"/></item>
<item name="SLICEX-SLICEM" rev="2">
<attrib name="value" value="5"/></item>
</group>
......@@ -131,17 +131,17 @@
<item name="AGG_LOCED_IO" rev="1">
<attrib name="value" value="134"/></item>
<item name="AGG_SLICE" rev="1">
<attrib name="value" value="63"/></item>
<attrib name="value" value="80"/></item>
<item name="NUM_BONDED_IOB" rev="1">
<attrib name="value" value="135"/></item>
<item name="NUM_BSFULL" rev="1">
<attrib name="value" value="84"/></item>
<item name="NUM_BSLUTONLY" rev="1">
<attrib name="value" value="93"/></item>
<attrib name="value" value="109"/></item>
<item name="NUM_BSREGONLY" rev="1">
<attrib name="value" value="2"/></item>
<item name="NUM_BSUSED" rev="1">
<attrib name="value" value="179"/></item>
<attrib name="value" value="195"/></item>
<item name="NUM_BUFG" rev="1">
<attrib name="value" value="2"/></item>
<item name="NUM_DSP48A1" rev="1">
......@@ -151,11 +151,11 @@
<item name="NUM_LOCED_IOB" rev="1">
<attrib name="value" value="134"/></item>
<item name="NUM_LOGIC_O5ANDO6" rev="1">
<attrib name="value" value="38"/></item>
<attrib name="value" value="40"/></item>
<item name="NUM_LOGIC_O5ONLY" rev="1">
<attrib name="value" value="30"/></item>
<attrib name="value" value="31"/></item>
<item name="NUM_LOGIC_O6ONLY" rev="1">
<attrib name="value" value="108"/></item>
<attrib name="value" value="121"/></item>
<item name="NUM_LUT_RT_DRIVES_CARRY4" rev="1">
<attrib name="value" value="1"/></item>
<item name="NUM_LUT_RT_EXO6" rev="1">
......@@ -169,22 +169,22 @@
<item name="NUM_SLICEL" rev="1">
<attrib name="value" value="19"/></item>
<item name="NUM_SLICEX" rev="1">
<attrib name="value" value="44"/></item>
<attrib name="value" value="61"/></item>
<item name="NUM_SLICE_CARRY4" rev="1">
<attrib name="value" value="19"/></item>
<item name="NUM_SLICE_CONTROLSET" rev="1">
<attrib name="value" value="6"/></item>
<item name="NUM_SLICE_CYINIT" rev="1">
<attrib name="value" value="248"/></item>
<attrib name="value" value="266"/></item>
<item name="NUM_SLICE_FF" rev="1">
<attrib name="value" value="86"/></item>
<item name="NUM_SLICE_UNUSEDCTRL" rev="1">
<attrib name="value" value="32"/></item>
<attrib name="value" value="33"/></item>
<item name="NUM_UNUSABLE_FF_BELS" rev="1">
<attrib name="value" value="18"/></item>
</group>
</DesignStatistics>
<DeviceUsage TimeStamp="Fri Jul 15 19:32:20 2011"><group name="SiteSummary">
<DeviceUsage TimeStamp="Mon Jul 18 09:45:17 2011"><group name="SiteSummary">
<item name="BUFG" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
<item name="BUFG_BUFG" rev="2">
......@@ -208,9 +208,9 @@
<item name="IOB_OUTBUF" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="60"/></item>
<item name="LUT5" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="68"/></item>
<attrib name="total" value="1000000"/><attrib name="used" value="71"/></item>
<item name="LUT6" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="177"/></item>
<attrib name="total" value="1000000"/><attrib name="used" value="192"/></item>
<item name="NULLMUX" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
<item name="OLOGIC2" rev="2">
......@@ -228,10 +228,10 @@
<item name="SLICEL" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="19"/></item>
<item name="SLICEX" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="44"/></item>
<attrib name="total" value="1000000"/><attrib name="used" value="61"/></item>
</group>
</DeviceUsage>
<ReportConfigData TimeStamp="Fri Jul 15 19:32:20 2011"><group name="DSP48A1">
<ReportConfigData TimeStamp="Mon Jul 18 09:45:17 2011"><group name="DSP48A1">
<item name="CEA" rev="2">
<attrib name="CEA_INV" value="0"/><attrib name="CEA" value="1"/></item>
<item name="CEB" rev="2">
......@@ -355,7 +355,7 @@
</group>
<group name="SLICEX">
<item name="CLK" rev="2">
<attrib name="CLK" value="23"/><attrib name="CLK_INV" value="0"/></item>
<attrib name="CLK" value="39"/><attrib name="CLK_INV" value="0"/></item>
</group>
<group name="OLOGIC2">
<item name="CLK0" rev="2">
......@@ -393,7 +393,7 @@
<item name="OUTFFTYPE" rev="2">
<attrib name="FF" value="6"/></item>
<item name="SRINIT_OQ" rev="2">
<attrib name="0" value="6"/></item>
<attrib name="0" value="5"/><attrib name="1" value="1"/></item>
<item name="SRTYPE_OQ" rev="2">
<attrib name="SYNC" value="4"/></item>
</group>
......@@ -456,7 +456,7 @@
<attrib name="WRITE_FIRST" value="1"/></item>
</group>
</ReportConfigData>
<ReportPinData TimeStamp="Fri Jul 15 19:32:20 2011"><group name="DSP48A1">
<ReportPinData TimeStamp="Mon Jul 18 09:45:17 2011"><group name="DSP48A1">
<item name="A0" rev="2">
<attrib name="value" value="1"/></item>
<item name="A1" rev="2">
......@@ -1159,14 +1159,18 @@
<attrib name="value" value="8"/></item>
<item name="CX" rev="2">
<attrib name="value" value="2"/></item>
<item name="D2" rev="2">
<attrib name="value" value="1"/></item>
<item name="D3" rev="2">
<attrib name="value" value="1"/></item>
<item name="D4" rev="2">
<attrib name="value" value="9"/></item>
<attrib name="value" value="10"/></item>
<item name="D5" rev="2">
<attrib name="value" value="9"/></item>
<attrib name="value" value="10"/></item>
<item name="D6" rev="2">
<attrib name="value" value="16"/></item>
<item name="DMUX" rev="2">
<attrib name="value" value="9"/></item>
<attrib name="value" value="10"/></item>
<item name="DQ" rev="2">
<attrib name="value" value="8"/></item>
<item name="DX" rev="2">
......@@ -1182,83 +1186,87 @@
</group>
<group name="SLICEX">
<item name="A" rev="2">
<attrib name="value" value="18"/></item>
<attrib name="value" value="20"/></item>
<item name="A1" rev="2">
<attrib name="value" value="11"/></item>
<attrib name="value" value="17"/></item>
<item name="A2" rev="2">
<attrib name="value" value="21"/></item>
<attrib name="value" value="20"/></item>
<item name="A3" rev="2">
<attrib name="value" value="26"/></item>
<attrib name="value" value="22"/></item>
<item name="A4" rev="2">
<attrib name="value" value="29"/></item>
<attrib name="value" value="23"/></item>
<item name="A5" rev="2">
<attrib name="value" value="32"/></item>
<attrib name="value" value="31"/></item>
<item name="A6" rev="2">
<attrib name="value" value="33"/></item>
<attrib name="value" value="32"/></item>
<item name="AMUX" rev="2">
<attrib name="value" value="2"/></item>
<attrib name="value" value="3"/></item>
<item name="AQ" rev="2">
<attrib name="value" value="16"/></item>
<attrib name="value" value="14"/></item>
<item name="AX" rev="2">
<attrib name="value" value="1"/></item>
<item name="B" rev="2">
<attrib name="value" value="18"/></item>
<attrib name="value" value="15"/></item>
<item name="B1" rev="2">
<attrib name="value" value="13"/></item>
<attrib name="value" value="17"/></item>
<item name="B2" rev="2">
<attrib name="value" value="23"/></item>
<attrib name="value" value="18"/></item>
<item name="B3" rev="2">
<attrib name="value" value="24"/></item>
<attrib name="value" value="20"/></item>
<item name="B4" rev="2">
<attrib name="value" value="25"/></item>
<attrib name="value" value="21"/></item>
<item name="B5" rev="2">
<attrib name="value" value="27"/></item>
<attrib name="value" value="25"/></item>
<item name="B6" rev="2">
<attrib name="value" value="28"/></item>
<attrib name="value" value="26"/></item>
<item name="BMUX" rev="2">
<attrib name="value" value="3"/></item>
<attrib name="value" value="1"/></item>
<item name="BQ" rev="2">
<attrib name="value" value="11"/></item>
<attrib name="value" value="12"/></item>
<item name="C" rev="2">
<attrib name="value" value="8"/></item>
<attrib name="value" value="17"/></item>
<item name="C1" rev="2">
<attrib name="value" value="8"/></item>
<attrib name="value" value="26"/></item>
<item name="C2" rev="2">
<attrib name="value" value="16"/></item>
<attrib name="value" value="26"/></item>
<item name="C3" rev="2">
<attrib name="value" value="17"/></item>
<attrib name="value" value="28"/></item>
<item name="C4" rev="2">
<attrib name="value" value="18"/></item>
<attrib name="value" value="29"/></item>
<item name="C5" rev="2">
<attrib name="value" value="19"/></item>
<attrib name="value" value="30"/></item>
<item name="C6" rev="2">
<attrib name="value" value="20"/></item>
<attrib name="value" value="32"/></item>
<item name="CE" rev="2">
<attrib name="value" value="9"/></item>
<attrib name="value" value="20"/></item>
<item name="CLK" rev="2">
<attrib name="value" value="23"/></item>
<attrib name="value" value="39"/></item>
<item name="CMUX" rev="2">
<attrib name="value" value="2"/></item>
<attrib name="value" value="4"/></item>
<item name="CQ" rev="2">
<attrib name="value" value="13"/></item>
<attrib name="value" value="17"/></item>
<item name="D" rev="2">
<attrib name="value" value="13"/></item>
<attrib name="value" value="20"/></item>
<item name="D1" rev="2">
<attrib name="value" value="6"/></item>
<attrib name="value" value="15"/></item>
<item name="D2" rev="2">
<attrib name="value" value="14"/></item>
<attrib name="value" value="17"/></item>
<item name="D3" rev="2">
<attrib name="value" value="15"/></item>
<attrib name="value" value="19"/></item>
<item name="D4" rev="2">
<attrib name="value" value="16"/></item>
<attrib name="value" value="20"/></item>
<item name="D5" rev="2">
<attrib name="value" value="18"/></item>
<attrib name="value" value="25"/></item>
<item name="D6" rev="2">
<attrib name="value" value="22"/></item>
<attrib name="value" value="28"/></item>
<item name="DMUX" rev="2">
<attrib name="value" value="1"/></item>
<item name="DQ" rev="2">
<attrib name="value" value="12"/></item>
<attrib name="value" value="9"/></item>
<item name="DX" rev="2">
<attrib name="value" value="2"/></item>
<attrib name="value" value="1"/></item>
<item name="SR" rev="2">
<attrib name="value" value="18"/></item>
<attrib name="value" value="30"/></item>
</group>
<group name="BUFG_BUFG">
<item name="I0" rev="2">
......@@ -1522,33 +1530,33 @@
</group>
<group name="LUT5">
<item name="A1" rev="2">
<attrib name="value" value="2"/></item>
<attrib name="value" value="4"/></item>
<item name="A2" rev="2">
<attrib name="value" value="3"/></item>
<attrib name="value" value="7"/></item>
<item name="A3" rev="2">
<attrib name="value" value="6"/></item>
<attrib name="value" value="8"/></item>
<item name="A4" rev="2">
<attrib name="value" value="6"/></item>
<attrib name="value" value="8"/></item>
<item name="A5" rev="2">
<attrib name="value" value="5"/></item>
<item name="O5" rev="2">
<attrib name="value" value="68"/></item>
<attrib name="value" value="71"/></item>
</group>
<group name="LUT6">
<item name="A1" rev="2">
<attrib name="value" value="38"/></item>
<item name="A2" rev="2">
<attrib name="value" value="73"/></item>
<item name="A2" rev="2">
<attrib name="value" value="77"/></item>
<item name="A3" rev="2">
<attrib name="value" value="81"/></item>
<attrib name="value" value="87"/></item>
<item name="A4" rev="2">
<attrib name="value" value="127"/></item>
<attrib name="value" value="132"/></item>
<item name="A5" rev="2">
<attrib name="value" value="137"/></item>
<attrib name="value" value="152"/></item>
<item name="A6" rev="2">
<attrib name="value" value="176"/></item>
<attrib name="value" value="191"/></item>
<item name="O6" rev="2">
<attrib name="value" value="177"/></item>
<attrib name="value" value="192"/></item>
</group>
<group name="IOB_IMUX">
<item name="I" rev="2">
......
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$44640<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1:BA4=6;2;%<<:4158JJUSS2HNO^L2>3;2=51=6<3CE\XZ5AEFQF974294:7?64220166479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=8720?>=<03235>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>;7082<2<>03===59984:23?>>?3=548=;7C7?3GFI11=MLONA@C24>0FIHKJMLONA@CBED`<>zfs88zigf6;0(553>L:75:483620>>3:8;0595601;1?<0?30<=5;766028=30>>03=:479974:5`=>2@D[YY4rne\ahvsqV~c~h}g<983:73<13CE\XZ5psmd[`kw|pUdk|h^cpw`ts410;2?;49;KMTPR=x{elShctx]wlwct`Vdnklzj<983:4b<13CE\XZ5psmd[cskdV~c~h}g<983:72<13CE\XZ5psmd[cskdV~c~h}g_`qpawr;03:5>956:HLSQQ<wzfmTjxbc_ujqavnXflmjxh27:1<2<>?=G\^[YY4kauc\gjsi|521<3?m;88LQQVR\3xoSio{a^alqkr;03:5=o56:NWWTPR={UomyoPcnwmp9>=8720MLON6@CB55=FIHKJMLO9A@CBEDG?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6Jl;ECWEZQNL]BTMn5KAUC\SLBS@VH97IG9;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;1<L@K7=:08;EKB84>9?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1<L@K7>808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1716:FJF969?2NBN1??>69GMG:697=0HDL313<4?AOE4895;6JFB=37:2=CAK6:9394DH@?53803MCI0<917:FJF97?6>1OEO2>9?48@LD;97=0HDL321<4?AOE4;;5;6JFB=01:2=CAK69?394DH@?61803MCI0?;17:FJF9416>1OEO2=7?58@LD;:14<7IGM<3;=2>BNJ585;6JFB=13:<=CAK68=7>17:FJF9566?1OEO2<>79GMG:36?1OEO2:>79GMG:16?1OEO28>79GMG:?6?1OEO26>69GMUG;87=0HD^N<0<4?AOWI58556JFP@>0>5803MC[M1=17:FJTG:76>1OE]L31?58@LVE4;427IG_B=194;1<L@ZI0>09;EMB85803MEJ0<>17:FLE9766>1OCL2>2?58@JG;9:4<7IAN<06=3>BHI5;>2:5KO@>22;1<LFK7=:08;EMB84>9?2NDM1?6>79GKD:66>1OCL2=0?58@JG;:84<7IAN<30=3>BHI5882:5KO@>10;1<LFK7>808;EMB8709?2NDM1<8>69GKD:507=0HBO328<5?AIF4;4<7IAN<22==>BHI59:6=08;EMB8679>2NDM1=16:FLE929>2NDM1;16:FLE909>2NDM1916:FLE9>9>2NDM1717:FLEZTBO?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HBLPRDE4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>39F06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=1M>K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[<4LH48HJGCMM=0@BIFC@N7?ISS:=1GYY=;;MWW01=K]]??7A[[649NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?;;O3361=I999?7C??459M55333G;;:95A1157?K770=1E==7<;O320>H699>0B<?>4:L2572<F8;886@>1568J472<2D:=;:4N0340>H691>0B<?63:L261=I9;:?7C?=159M57433G;9?95A1367?K75==1E=?8;;O3131=I9;2?7C?=929M562<F89;86@>3068J455<2D:?>:4N0170>H6;190B<:;;O3746=I9<90B<8;;O35=6=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<;1E9?5A729M<45<F1887C6<3:L;06=I0<90B58<;O:47>H?0:1E44<4N818J<643G3:?6@6229M=65<F0>87C7:3:L:26=I1>90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB92[j7_OBB04AS@T13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO44?VTQIEUJ;6]]V@N\F1=TQGI?7Y\ZE59W]UC4l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR9VS_JPPOVQ_1[XPFXT84Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR?VS_JPPOVQ_3[XPFXT8:Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR<VS_JPPOVQ_2[XPFXT8;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR=VS_JPPOVQ_=[XPFXT88Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS8WT[_Q_NUPX6XY_G[U<SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW<UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^2ZW^XT\CZ][4_\\JTX<VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY4WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX2XYPZVZEX_U8]^ZLVZ4XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY4YZQUWYD_^V6R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q:2^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]65Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U?5Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q;8^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]73Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY3>Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U?9Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW=SPWS]SJQT\9TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T1\]TVZVI\[Q9QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS=WT[_Q_NUPX1XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP9PQXR^RMPW]1UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U9]^UQ[UHSZR=VSUA]_3]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ5^[RTXXG^YW5SPXNP\5Zi592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\cf:76;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^22b>S7'nxm"h gbz-gim'{nT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR8>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P17]l7d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=;Q`12;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8=Tc>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]?UVxnhxmj_ymq[41Xg89m7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3;5Z~h494:?45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\5=Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P183\|j:768927X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3:[j5a3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T8\]qaasdmVrd~R<?1^zl8586;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P21]l7`=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT><?Pxn>3:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS??Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;8:Sua30?61?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV89=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ=2^m0a>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9?<Qwo=2=7<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>>Q`299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^:15>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"h gbz-gim'~xT|cz}_ckm8=8582_;#j|i.sd,cf~)keas#z|Ppovq[goiW98;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ=219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^114>S7'nxm"h gbz-gim'~xT|cz}_ckm[1473\:$kh!rg-dg}(ddbr${Qnup\flhX=;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU=>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR9=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>1^m0<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U?Sb=6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ2Xg8937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^7\k6?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS8Q`12g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW?;Ttb2?>01;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV<Tc>k4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]?UVxnhxmj_ymq[27Xpf6;2<=7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ1Xg:o0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_93\|j:768937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^:\k6b<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4?Pxn>3:6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4Q`299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^:1a>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2;>00f?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)khxyuck{<1<1a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=3=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:668887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<266=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:11328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr36a=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l6`=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l576<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j63=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTe9>4U1-dvc(un&mg<#|k/fpkb+ton&GfyuQ}al]ueiocW`dSJ@K_6;\kZKRPV;9Sb?>279V4*aun'xm#jb?.sf,cwna&{bm#ob_wcomaYc9:30Y=!hrg,qb*ak8'xo#j|gf/pkb*tfeV|j`djPiov\CKBX?0UdS@[W_00\k4b<]9%l~k }f.eo4+tc'{kfSjPeo]j515<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[3?XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP68]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY11VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_7;\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS;7Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z0>WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]5=ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ99^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>3:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^az8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\g|:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Ze~4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xkp6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vir080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Tot29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rmv<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>;:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^nvp979;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[iss4;48<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xd|~7?3=?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[k67:<Ugyy2;>228Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rbzt=7=75=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUe<=<:_mww838482_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\hpr;?79;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_o2360Yk}}632>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vf~x171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYi898>Sua}<0<04>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pxnp?6;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSc>?24]{kw:46::0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Z~hz5>5?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]m4542Wqey080<0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Ttb|36?13?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWg:;>8Qwos>4:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^zlv9>9;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[}iu4048?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@m`mqcq[Zh78:8Tot2?>218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-Ngjkwi{UTb=><2^az8484;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xkp692>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYXf9:8>Rmv<2<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySR`?020\g|:36:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~x1>1359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww8484<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~7>3=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXWg:;??Qcuu>0:62<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQPn1206Zjr|5>5?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZYi8999Sa{{dh17?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTSc>?33]oqqbn9:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~xig=359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww`l54<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~oe9==;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXf9:;9Rmv<1<06>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]`}979;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&Ghc`~nr^l3453Xkp692><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Snw33?11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTb=>?5^az8184;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_o2340Yk}}6;2>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Sa{{<0<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]oqq:56:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]m4562We0>0<3:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/LaliuguWg:;<8Qcuu>7:64<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQa0126[issl`987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Anabp`p\j567=Vf~xig>329V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVd;<=;Pltvgm7543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(Ejef|l|Pn1231Zjr|mc8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZh789?T`xzki563?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,[ZY_DGGTSR>P_^O`khvfzVd;<=;Pltvgm17<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_0]\[HeheykySc>?04]oqqbn9=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[7YXWDida}o}_o2340Yk}}nb>9?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!P_^ZOJHYXW:UTS@m`mqcq[k678<Ugyyjf3538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-\[Z^KFDUTS9QP_LaliuguWg:;<8Qcuufj017<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_4]\[HeheykySR`?020\hprca=80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[3YXWDida}o}_^l3464Xd|~oe<:=;T2,cw`)zo%l`= xr.etev(`xo$|~}h _^][HKKXWV=TSRClolrbvZYi8999Sa{{dh076>S7'nxm"h gm2-sw)`hy%k}h!wsre+ZYXPEDFSRQ7_^]Ngjkwi{UTb=><2^nvpao4<;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&UTSUBAM^]\=ZYXEjef|l|P_o2377Yk}}nb8?;4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Pn12067`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>;:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;978<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$b=>=5=0=62=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*h78;?7?3<8;T2,cw`)zo%l`= xr.etev(`xo$|~}h n1211929:>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&d;<?;35?04?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,j565=5<5>:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"`?037?3;403\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(f9:99161269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo492_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[wusxf~856[?/fpe*w`(oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX0:UdS@[W_06\k475m2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"no}rxlfp969:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#mnrs{maq:66;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:78n7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6494986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:72<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?07?P6(o{l%~k!hl1,tv*apiz$|y} c0-u59599;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:090=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:44b3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw8585m2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"no}rxlfp979:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:56;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;878?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=0=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28686::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=6=576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6;;1^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;TKCJP82]l[HS_W8>Tc?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~=299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k2`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\575<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Zebxf~956[?/fpe*w`(oe:%{!}al]tvZciW`;Toh~`t^KMRZ6502_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idycz30?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}6:2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp949:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{<2<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~783<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjq:26;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at=4=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|d0:0=8:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkr;07>;7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4:4:=9=4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^7?3Qmde20a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~P4PQHNE];5ZiXe|rT>RAZT=7=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dW5SPGOF\<4YhWdsS?Q@UU>6:45b3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byU7]^EM@Z>6WfUfyuQ=_NWW8384n2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczT8\]DJAY?9VeTaxvP2^MVP9099:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{atZ:^[BHCW1;TcRczx^0\KPR;?79m7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4>4:?h5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw_=[XOGNT4<Q`_lw{[7YH]]6329<4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^743Q\W104?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U;>n5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[5Ycxf~9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\57e<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexR?Pdqmw62=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dS?<l;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqY5Wmzdx?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ55k2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczP3^fskq403\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQ;2b9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsW=Uo|bz=7:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrX=;i0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^7\`uis:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_704?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U<>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[=4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=2=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?31?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=1<12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm;7?3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc95>5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7;=78i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g5909:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3?3;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=:=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn:S<<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc9V89m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`4Y4:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3\07g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj>_40b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=R8=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl8U<>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7X0;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18585j2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3>2:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=<3<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~Th?2<>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9090=b:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;6>2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZb54?49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7:06;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18=85i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3]36d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi<P13c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9S?<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc:V99m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7Y3:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e0\17g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=_70b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo>R9=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;U3>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;878i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7979:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1?6;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk3=1=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=34?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?1;12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm97:3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;5=5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;078j7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7Z65i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd2]26d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=P23c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn8S><n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;V>9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`6Y2:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1\27g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj<_60b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?R688:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid;m7X> gsd-vc)jmd%ln` {mq]qehYulVoe>=5Z0.eqb+ta'dof#jlb.uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!]SU]EQIJXOLD946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`44?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk23:8Q5)`zo$yj"||tcnh+btt|m;%k}{_gwoh+tt|Vn8>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4949o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;978h7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56;h0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ65j2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\57d<]9%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^01g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi30?0`?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2>>3`8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR>=b:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb5:=1^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz>c:W3+bta&{l$~~zmlj-tvZtt|Vidao?k;T2,cw`)zo%yylck.uq[wusWjefn<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo6:?ZYXPEDFSRQ>0^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6?2<=m;^]\\IHJWVU:=RQPU1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ5Xlye8i5P_^ZOJHYXW88TSR[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]45Z~h494:8h5P_^ZOJHYXW89TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=9m4_^][HKKXWV;?SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT:4Q`_lw{[7YH]]9:?o5P_^ZOJHYXW8?TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\5Zbwg}8m7RQPXMLN[ZY6>VUTY=!hrg,qb*kbe&mia#zbp^pbiZtcWld:8n5P_^ZOJHYXW8=TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P53]l[}i;87;?o6QP_YNMIZYX91UTSX> gsd-vc)`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768>i7RQPXMLN[ZY61VUTY=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP<PQXR^RMPW]6UVRD^R6Po^zl8586<k1TSRVCNL]\[76XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT>RaPxn>3:42d3VUTTA@B_^]15ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV?;SbQwo=2=51e<WVUS@CCP_^01[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<20g=XWVRGB@QP_31\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX>VeTtb2?>06a?ZYXPEDFSRQ=4^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>c:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~j2lbjbQ<2y7267?<n`ldS><w500/bl`hWnoeio{os]u2Z4+FFDN CAAE2f`?coagV99t8?=,gkekZabflxjxb|Pv7]1(~eX`hyTeczPcmi>4)eX`hyT}{=1.`[wbXn{oz1<"l_dlbficXzz~Tt`l=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk964+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>5)eXlfSzgkti?06)eXezmdbRxnl<3/gZtcWmo{xe3:13.`[`tug{Ubbgklthmm[qwm4:'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;281&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:9%iT|ah_gwohZrozlycSl}|esv\rdj:=93'obcoogmpZhfel7mekaP33z657*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0:#c^ofijt~W}s{i0?#}378bl`hW:8s9<<Pfhdl[bcim{kcQy6^0\|vrX9;l0jdh`_fgmawgsg{U}:R<# Ykomk~'KFXN,Jkaescwkw&6:'8?7k{cle9jjocd|mhbxh|}d:kmn`es{kci|8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586i2zycjQiumn\pmtb{aUj~k}t=3=5d=wzfmTjxbc_ujqavnXizyn~y2=>0c8twi`Wog`Rzgrdqk[dutm{~7?3?n;qplcZ`rdeUdk|h^cpw`ts4=4:m6~}of]eqijX|axneQnsrgqp9399h1{~biPftno[qnumzbTm~}jru>5:4g<x{elSk{cl^vkv`uoWhyxiz37?3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[dutm{~743?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki1>11`9svjaXn|fgSyf}erj\j`af|l6:2<o4psmd[cskdV~c~h}g_ogdeqc;:7;j7}|`g^dvhiYs`{oxdR`jg`vf8686i2zycjQiumn\pmtb{aUeijo{e=6=5d=wzfmTjxbc_ujqavnXflmjxh2:>0c8twi`Wog`Rzgrdqk[kc`i}o7:3?n;qplcZ`rdeUdk|h^lfcdrb4>4:o6~}of]eqijX|axneQaefcwa9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf8=813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;97jPd`vb[firf}636=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su34?qkw'Vil#kgio^11|075&gmd56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpb2g?EF=<>1J7:51zQ55?2b?3<1=><kb6`96=6djrd?894>;o671?0<,=>969=l;|Q6b?2b?3<1=><kb6`96=6dj2Y::>4;f383>455lk=i6?6?cb9P1c<3n;0;6<==dc5a>7>7kl1o8h750;395~U193>n;785120gf2d=:1:hn6x[1d194?7=93;2nv]91;6f3?0=9:8on:l5292`f>"3:l0::95Y45196~s6>j0:7x?9d;28y!7c:38:7o:j9;291g<42<hpD9<l;[74>1}5n39;6<>5d;'5`?=<l30(9:>:5gg?j32>3:17d;;f;29?j36;3:17d;93;29?j2bm3:17b:j8;29?l3203:17d;=6;29 4b32<8m7c?k3;28?l35=3:1(<j;:40e?k7c;3;07d;=4;29 4b32<8m7c?k3;08?l35;3:1(<j;:40e?k7c;3907d;=2;29 4b32<8m7c?k3;68?l3593:1(<j;:40e?k7c;3?07d;=0;29 4b32<8m7c?k3;48?l36n3:1(<j;:40e?k7c;3=07d;>e;29 4b32<8m7c?k3;:8?l3413:1(<j;:461?k7c;3:07d;<8;29 4b32<>97c?k3;38?l34?3:1(<j;:461?k7c;3807d;<6;29 4b32<>97c?k3;18?l34=3:1(<j;:461?k7c;3>07d;<4;29 4b32<>97c?k3;78?l34;3:1(<j;:461?k7c;3<07d;<2;29 4b32<>97c?k3;58?l3493:1(<j;:461?k7c;3207d;:1;29?j2en3:1(<j;:5ff?k7c;3:07b:me;29 4b32=nn7c?k3;38?j2ek3:1(<j;:5ff?k7c;3807b:mb;29 4b32=nn7c?k3;18?j2ei3:1(<j;:5ff?k7c;3>07b:m9;29 4b32=nn7c?k3;78?j2e03:1(<j;:5ff?k7c;3<07b:m7;29 4b32=nn7c?k3;58?j2e>3:1(<j;:5ff?k7c;3207b:m5;29 4b32=nn7c?k3;;8?j2e<3:1(<j;:5ff?k7c;3k07b:m3;29 4b32=nn7c?k3;`8?j2e93:1(<j;:5ff?k7c;3i07b:m0;29 4b32=nn7c?k3;f8?j2fn3:1(<j;:5ff?k7c;3o07b:ne;29 4b32=nn7c?k3;d8?j2fl3:1(<j;:5ff?k7c;3;;76a;ab83>!7c<3>oi6`>d2825>=h<hh1<7*>d587``=i9m91=?54o5cb>5<#9m>18ik4n0f0>45<3f>j57>5$0f7>1bb3g;o?7?;;:m7e=<72-;o87:ke:l2`6<6=21d8n;50;&2`1<3ll1e=i=51798k1e3290/=i:54eg8j4b428=07b:l3;29 4b32=nn7c?k3;3;?>i3k;0;6)?k4;6ga>h6l:0:565`4b394?"6l=0?hh5a1e195d=<g=i;6=4+1e690ac<f8n86<l4;n6a`?6=,8n?69jj;o3g7?7d32e?n?4?:%3g0?2cm2d:h>4>d:9l0d1=83.:h94;dd9m5a5=9l10c9o9:18'5a2=<mo0b<j<:0d8?l20m3:1(<j;:5;g?k7c;3:07d:8d;29 4b32=3o7c?k3;38?l20j3:1(<j;:5;g?k7c;3807d:8a;29 4b32=3o7c?k3;18?l2013:1(<j;:5;g?k7c;3>07d:88;29 4b32=3o7c?k3;78?l20?3:1(<j;:5;g?k7c;3<07d:86;29 4b32=3o7c?k3;58?l20=3:1(<j;:5;g?k7c;3207d:84;29 4b32=3o7c?k3;;8?l20;3:1(<j;:5;g?k7c;3k07d:82;29 4b32=3o7c?k3;`8?l2083:1(<j;:5;g?k7c;3i07d:9f;29 4b32=3o7c?k3;f8?l21m3:1(<j;:5;g?k7c;3o07d:9d;29 4b32=3o7c?k3;d8?l21k3:1(<j;:5;g?k7c;3;;76g;6c83>!7c<3>2h6`>d2825>=n<?k1<7*>d587=a=i9m91=?54i54:>5<#9m>184j4n0f0>45<3`>=47>5$0f7>1?c3g;o?7?;;:k722<72-;o87:6d:l2`6<6=21b85:50;&2`1<31m1e=i=51798m1>4290/=i:548f8j4b428=07d:72;29 4b32=3o7c?k3;3;?>o3080;6)?k4;6:`>h6l:0:565f49294?"6l=0?5i5a1e195d=<a==m6=4+1e690<b<f8n86<l4;h64g?6=,8n?697k;o3g7?7d32c?;<4?:%3g0?2>l2d:h>4>d:9j030=83.:h94;9e9m5a5=9l10e98::18'5a2=<0n0b<j<:0d8?l33<3:17o:=d;295?6=8rB?>n5+1d;907b<g8n:6=44}c33`?6=93:1<vF;2b9'5`?=99n0c<>l:188yg31290jn7?;5;3:f~N3:j1Q9:4>6z0`>7d=:m08=7<j:3d975<683n1??4<3;f96g<5k38o6?k52g804?5628:1??4<3;'5`?=<li0(8o54dc8 36=<lh0(<ml:0f3?l37?3:1(<j;:42;?k7c;3:07d;?6;29 4b32<:37c?k3;38?l37=3:1(<j;:42;?k7c;3807d;?4;29 4b32<:37c?k3;18?l37;3:1(<j;:42;?k7c;3>07d;?2;29 4b32<:37c?k3;78?l3793:1(<j;:42;?k7c;3<07d;?0;29 4b32<:37c?k3;58?l2an3:1(<j;:42;?k7c;3207d:i0;29?l3283:17d;:3;29?j32>3:17d:id;29 4b32=ln7c?k3;28?l2ak3:1(<j;:5df?k7c;3;07d:ib;29 4b32=ln7c?k3;08?l2ai3:1(<j;:5df?k7c;3907d:i9;29 4b32=ln7c?k3;68?l2a03:1(<j;:5df?k7c;3?07d:i7;29 4b32=ln7c?k3;48?l2a>3:1(<j;:5df?k7c;3=07d:i5;29 4b32=ln7c?k3;:8?l33n3:17b:i3;29?l3693:1(<j;:431?k7c;3:07d;>0;29 4b32<;97c?k3;38?l37n3:1(<j;:431?k7c;3807d;?e;29 4b32<;97c?k3;18?l37l3:1(<j;:431?k7c;3>07d;?c;29 4b32<;97c?k3;78?l37j3:1(<j;:431?k7c;3<07d;?a;29 4b32<;97c?k3;58?l3713:1(<j;:431?k7c;3207b::6;29?j21:3:1(<j;:540?k7c;3:07b:91;29 4b32=<87c?k3;38?j2183:1(<j;:540?k7c;3807b::f;29 4b32=<87c?k3;18?j22m3:1(<j;:540?k7c;3>07b::d;29 4b32=<87c?k3;78?j22k3:1(<j;:540?k7c;3<07b::b;29 4b32=<87c?k3;58?j22i3:1(<j;:540?k7c;3207b;>3;29?l31;3:17d;>c;29 4b32<;o7c?k3;28?l36j3:1(<j;:43g?k7c;3;07d;>a;29 4b32<;o7c?k3;08?l3613:1(<j;:43g?k7c;3907d;>8;29 4b32<;o7c?k3;68?l36?3:1(<j;:43g?k7c;3?07d;>6;29 4b32<;o7c?k3;48?l36=3:1(<j;:43g?k7c;3=07d;>4;29 4b32<;o7c?k3;:8?j2bm3:17b:j8;29?l3203:17d::8;29?l35>3:1(<j;:40e?k7c;3:07d;=5;29 4b32<8m7c?k3;38?l35<3:1(<j;:40e?k7c;3807d;=3;29 4b32<8m7c?k3;18?l35:3:1(<j;:40e?k7c;3>07d;=1;29 4b32<8m7c?k3;78?l3583:1(<j;:40e?k7c;3<07d;>f;29 4b32<8m7c?k3;58?l36m3:1(<j;:40e?k7c;3207d;<9;29 4b32<>97c?k3;28?l3403:1(<j;:461?k7c;3;07d;<7;29 4b32<>97c?k3;08?l34>3:1(<j;:461?k7c;3907d;<5;29 4b32<>97c?k3;68?l34<3:1(<j;:461?k7c;3?07d;<3;29 4b32<>97c?k3;48?l34:3:1(<j;:461?k7c;3=07d;<1;29 4b32<>97c?k3;:8?j22=3:17d:i1;29?l2213:17d;94;29?j32:3:17b;:7;29?l3293:17b:mf;29 4b32=nn7c?k3;28?j2em3:1(<j;:5ff?k7c;3;07b:mc;29 4b32=nn7c?k3;08?j2ej3:1(<j;:5ff?k7c;3907b:ma;29 4b32=nn7c?k3;68?j2e13:1(<j;:5ff?k7c;3?07b:m8;29 4b32=nn7c?k3;48?j2e?3:1(<j;:5ff?k7c;3=07b:m6;29 4b32=nn7c?k3;:8?j2e=3:1(<j;:5ff?k7c;3307b:m4;29 4b32=nn7c?k3;c8?j2e;3:1(<j;:5ff?k7c;3h07b:m1;29 4b32=nn7c?k3;a8?j2e83:1(<j;:5ff?k7c;3n07b:nf;29 4b32=nn7c?k3;g8?j2fm3:1(<j;:5ff?k7c;3l07b:nd;29 4b32=nn7c?k3;33?>i3ij0;6)?k4;6ga>h6l:0:=65`4``94?"6l=0?hh5a1e1957=<g=kj6=4+1e690ac<f8n86<=4;n6b=?6=,8n?69jj;o3g7?7332e?m54?:%3g0?2cm2d:h>4>5:9l0f3=83.:h94;dd9m5a5=9?10c9m;:18'5a2=<mo0b<j<:058?j2d;3:1(<j;:5ff?k7c;3;376a;c383>!7c<3>oi6`>d282=>=h<j;1<7*>d587``=i9m91=l54o5a3>5<#9m>18ik4n0f0>4d<3f>ih7>5$0f7>1bb3g;o?7?l;:m7f7<72-;o87:ke:l2`6<6l21d8l950;&2`1<3ll1e=i=51d98k1g1290/=i:54eg8j4b428l07d:8e;29 4b32=3o7c?k3;28?l20l3:1(<j;:5;g?k7c;3;07d:8b;29 4b32=3o7c?k3;08?l20i3:1(<j;:5;g?k7c;3907d:89;29 4b32=3o7c?k3;68?l2003:1(<j;:5;g?k7c;3?07d:87;29 4b32=3o7c?k3;48?l20>3:1(<j;:5;g?k7c;3=07d:85;29 4b32=3o7c?k3;:8?l20<3:1(<j;:5;g?k7c;3307d:83;29 4b32=3o7c?k3;c8?l20:3:1(<j;:5;g?k7c;3h07d:80;29 4b32=3o7c?k3;a8?l21n3:1(<j;:5;g?k7c;3n07d:9e;29 4b32=3o7c?k3;g8?l21l3:1(<j;:5;g?k7c;3l07d:9c;29 4b32=3o7c?k3;33?>o3>k0;6)?k4;6:`>h6l:0:=65f47c94?"6l=0?5i5a1e1957=<a=<26=4+1e690<b<f8n86<=4;h65<?6=,8n?697k;o3g7?7332c?::4?:%3g0?2>l2d:h>4>5:9j0=2=83.:h94;9e9m5a5=9?10e96<:18'5a2=<0n0b<j<:058?l2?:3:1(<j;:5;g?k7c;3;376g;8083>!7c<3>2h6`>d282=>=n<1:1<7*>d587=a=i9m91=l54i55e>5<#9m>184j4n0f0>4d<3`><o7>5$0f7>1?c3g;o?7?l;:k734<72-;o87:6d:l2`6<6l21b8;850;&2`1<31m1e=i=51d98m102290/=i:548f8j4b428l07d::7;29?j33l3:1(<j;:46f?k7c;3:07b;;c;29 4b32<>n7c?k3;38?j33j3:1(<j;:46f?k7c;3807b;;a;29 4b32<>n7c?k3;18?j3313:1(<j;:46f?k7c;3>07b;;8;29 4b32<>n7c?k3;78?j33?3:1(<j;:46f?k7c;3<07b;;6;29 4b32<>n7c?k3;58?j33=3:1(<j;:46f?k7c;3207b;91;29 4b32<<97c?k3;28?j3183:1(<j;:441?k7c;3;07b;:f;29 4b32<<97c?k3;08?j32m3:1(<j;:441?k7c;3907b;:d;29 4b32<<97c?k3;68?j32k3:1(<j;:441?k7c;3?07b;:b;29 4b32<<97c?k3;48?j32i3:1(<j;:441?k7c;3=07b;:9;29 4b32<<97c?k3;:8?j2a<3:17d:jf;29?j21<3:17d;;4;29?j32=3:17o:<f;295?6=8r.:i44>0e9K06c<@=8h7b??c;29?xd3<90;6<4?:1y'5`?=<;n0D9=j;I61g>i6l80;66sm2d594?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg4b>3:187>50z&2a<<68h1C8>k4H50`?!76l3?0e8650;9j27<722c:h;4?::m2`2<722wi>h750;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb2`3>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd4io0;694?:1y'5`?=99k0D9=j;I61g>"69m0>7d;7:188m34=831b=i850;9l5a1=831vn9?=:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c616?6=;3:1<v*>e88242=O<:o0D9<l;I76?!71i3;n46*>1e82?l3?2900e;<50;9l5a1=831vn9<8:180>5<7s-;n57??7:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n>;0;66a>d683>>{e<8?1<7:50;2x 4c>28:j7E:<e:J76f=O=<1/=;o51d:8 47c2<1b954?::k56?6=3`;o:7>5;n3g3?6=3th?><4?:283>5}#9l31==94H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l052900c<j8:188yg25>3:1?7>50z&2a<<68>1C8>k4H50`?M323-;=m7?j8:&25a<63`?36=44i7094?=h9m=1<75rb537>5<3290;w)?j9;33e>N3;l1C8?m4$04b>4c?3A?>7)?>d;78m0>=831b:?4?::k2`3<722e:h:4?::a04d=83>1<7>t$0g:>46f3A>8i6F;2b9'54b==2c>47>5;h41>5<<a8n=6=44o0f4>5<<uk>:m7>54;294~"6m00:<l5G42g8L14d3-;:h7;4i4:94?=n>;0;66g>d783>>i6l>0;66sm40;94?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg2583:197>50z&2a<<68k1C8>k4H50`?M323-;=m7?j8:k6<?6=3`?26=44i7094?=n9m<1<75`1e594?=zj=;m6=4;:183!7b13;;m6F;3d9K07e<,8;o685f5983>>o1:3:17d?k6;29?j7c?3:17pl;1d83>1<729q/=h7511c8L15b3A>9o6*>1e86?l3?2900e;<50;9j5a0=831d=i950;9~f174290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;%32`?3<a<21<75f6383>>o6l?0;66a>d683>>{e;1n1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd40j0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg5?j3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f6>f290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a7=c=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`0e5<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c1:b?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb2;f>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm38f94?2=83:p(<k6:04a?M24m2B?>n5f5c83>>o1=3:17d?j0;29?j7dn3:17pl<a083>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo<l3;290?6=8r.:i44>6c9K06c<@=8h7d;m:188m33=831b=h>50;9l5f`=831vn?m=:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi>n?50;694?6|,8o26<8m;I60a>N3:j1b9o4?::k51?6=3`;n<7>5;n3`b?6=3th9o=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk8h87>54;294~"6m00::o5G42g8L14d3`?i6=44i7794?=n9l:1<75`1bd94?=zj;n=6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e:m?1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd5l=0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg4c;3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f7b0290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a775=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm33094?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi???50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;;:1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74`=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30g94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<j50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;8i1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74d=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30394?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<>50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9l1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75c=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31f94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?=m50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9h1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75g=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31;94?3=83:p(<k6:02a?M24m2B?>n5+10f91>o203:17d;6:188m34=831b=i850;9l5a1=831vn>:<:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?9650;194?6|,8o26<8:;I60a>N3:j1/=<j5279'5a3=;?<0e<>i:188m4772900c<mi:188yg53l3:1?7>50z&2a<<6><1C8>k4H50`?!76l330(<j::245?l77n3:17d?>0;29?j7dn3:17pl<4383>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=;7;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39=96g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;=i1<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d48020=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb262>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm35494?3=83:p(<k6:044?M24m2B?>n5+10f962=#9m?1?;:4i02e>5<<a8;;6=44i032>5<<a8;96=44o0ae>5<<uk9?n7>55;294~"6m00:::5G42g8L14d3-;:h7:4$0f6>6033`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`005<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c171?6==3:1<v*>e88222=O<:o0D9<l;%32`?403-;o97=93:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?9o50;794?6|,8o26<88;I60a>N3:j1/=<j54:&2`0<4>:1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f65a290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a712=83?1<7>t$0g:>4003A>8i6F;2b9'54b=:>1/=i;53708m46a2900e<??:188m4762900e<?=:188k4ea2900qo=;9;291?6=8r.:i44>669K06c<@=8h7)?>d;68 4b22:<97d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd4=;0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg52?3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7)?k5;155>o68o0;66g>1183>>i6ko0;66sm34a94?5=83:p(<k6:046?M24m2B?>n5+10f9=>"6l<08:<5f11d94?=n98:1<75`1bd94?=zj:?:6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e;<<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>:5+1e79736<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c16f?6==3:1<v*>e88222=O<:o0D9<l;%32`?2<,8n>6>8?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th89=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk9>97>55;294~"6m00:::5G42g8L14d3-;:h7<8;%3g1?52n2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a70g=83?1<7>t$0g:>4003A>8i6F;2b9'54b=<2.:h84<5g9j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>:i:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?8:50;794?6|,8o26<88;I60a>N3:j1/=<j5269'5a3=;<o0e<>i:188m4772900e<?>:188m4752900c<mi:188yg5213:197>50z&2a<<6>>1C8>k4H50`?!76l3>0(<j::27f?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<4d83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=:3;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39>h6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;<21<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d4801a=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb21f>5<4290;w)?j9;60`>N3;l1C8?m4i4`94?=n9?81<75`1bd94?=zj:9o6=4<:183!7b13>8h6F;3d9K07e<a<h1<75f17094?=h9jl1<75rb20`>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm32a94?5=83:p(<k6:51g?M24m2B?>n5f5c83>>o6>;0;66a>cg83>>{e;;h1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd4;k0;6>4?:1y'5`?=<:n0D9=j;I61g>o2j3:17d?92;29?j7dn3:17pl<2`83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=<a;297?6=8r.:i44;3e9K06c<@=8h7d;m:188m4052900c<mi:188yg5513:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f65>29086=4?{%3f=?24l2B??h5G43a8m0d=831b=;<50;9l5f`=831vn><7:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?>650;194?6|,8o269=k;I60a>N3:j1b9o4?::k227<722e:ok4?::a771=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`072<72:0;6=u+1d;906b<@=9n7E:=c:k6f?6=3`;=>7>5;n3`b?6=3th8>;4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk98:7>53;294~"6m00??i5G42g8L14d3`?i6=44i041>5<<g8im6=44}c111?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb207>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm36;94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f61?290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk9<;7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl<7783>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a723=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj:=?6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo=83;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`037<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e;>;1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn9>l:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:i6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f16f290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb52:>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>7:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:<6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f161290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb526>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>;:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:lj6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`>290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d;>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h8:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l=6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`2290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d7>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h<:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l96=4::183!7b13;;n6F;3d9K07e<,8;o685f5983>>o213:17d8=:188m4b12900c<j8:188yg5b03:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f3?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j6;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n97>55;294~"6m00:<45G42g8L14d3-;:h7?4i4:94?=n=00;66g:e;29?l052900c<j8:188yg5b<3:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f7?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j2;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n=7>55;294~"6m00:<o5G42g8L14d3-;:h7;4i4:94?=n=00;66g92;29?l7c>3:17b?k7;29?xd4m90;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`3<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<d483>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8h94?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4l;0;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`5<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<cd83>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8on4?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4kh0;684?:1y'5`?=99h0D9=j;I61g>"69m0>7d;7:188m0?=831b:?4?::k2`3<722e:h:4?::a7f>=83?1<7>t$0g:>46e3A>8i6F;2b9'54b==2c>47>5;h7:>5<<a?81<75f1e494?=h9m=1<75rb357>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg40;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9;?4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm26394?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f717290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8=j7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=6d83>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a63b=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;<h6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<>b;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`15d<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:831<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn??7:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c023?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd59?0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi><;50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb337>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg46;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9no4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm2cc94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7d>290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8i47>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=b683>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6g0=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;h>6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<m4;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1f6<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:?81<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?8>:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c054?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5=o0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>8k50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb37g>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg42k3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th99o4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm24c94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7??290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd51>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;3=6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9584?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?7;:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=9283>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb3;1>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1=4<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f7?7290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;o0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;9n6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9?i4?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?=l:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=3c83>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb31b>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`17<<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f75?290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;8o6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<=c;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`16g<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:;k1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?<6:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c01<?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5:>0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>?850;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb306>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg4?>3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9484?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm29694?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7>4290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk83>7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=8083>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6=6=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;=m6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<8e;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3`;;j7>5;h324?6=3f;hj7>5;|`1a=<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3-;o97:;c:k24c<722c:==4?::m2gc<722wi?o?50;194?6|,8o26<8:;I60a>N3:j1/=<j59:k24c<722c:==4?::m2gc<722wi8=h50;694?6|,8o26<89;I60a>N3:j1/=<j5589'5a3=<=i0e<>i:188m4772900e<?>:188k4ea2900qo<j4;291?6=8r.:i44>669K06c<@=8h7)?>d;331>o68o0;66g>1183>>o6980;66g>1383>>i6ko0;66sm39794?0=83:p(<k6:04;?M24m2B?>n5+10f954=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj=8?6=4<:183!7b13;=96F;3d9K07e<,8;o6<<4i02e>5<<a8;;6=44o0ae>5<<uk>9m7>53;294~"6m00::85G42g8L14d3-;:h7?=;h33b?6=3`;:<7>5;n3`b?6=3th85;4?:483>5}#9l31=;94H51f?M25k2.:=i4=7:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?4:50;794?6|,8o26<88;I60a>N3:j1/=<j5269j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>7=:186>5<7s-;n57?97:J77`=O<;i0(<?k:358m46a2900e<??:188m4762900e<?=:188k4ea2900qo=60;291?6=8r.:i44>669K06c<@=8h7)?>d;04?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<9983>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm3`;94?3=83:p(<k6:044?M24m2B?>n5+10f960=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb2c4>5<2290;w)?j9;353>N3;l1C8?m4$03g>73<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c1b1?6==3:1<v*>e88222=O<:o0D9<l;%32`?423`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`0e6<72<0;6=u+1d;9531<@=9n7E:=c:&25a<5=2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a7dd=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f7ed290>6=4?{%3f=?71?2B??h5G43a8 47c2;?0e<>i:188m4772900e<?>:188m4752900c<mi:188yg4di3:197>50z&2a<<6>>1C8>k4H50`?!76l38>7d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd5k10;684?:1y'5`?=9?=0D9=j;I61g>"69m0996g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:j<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>85f11d94?=n98:1<75f10394?=n9881<75`1bd94?=zj;in6=4<:183!7b13;=96F;3d9K07e<,8;o6?84i02e>5<<a8;;6=44o0ae>5<<uk8oj7>55;294~"6m00:::5G42g8L14d3-;:h7<;;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th9hi4?:483>5}#9l31=;94H51f?M25k2.:=i4=4:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>il50;794?6|,8o26<88;I60a>N3:j1/=<j5249j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn?j6:186>5<7s-;n57?97:J77`=O<;i0(<?k:368m46a2900e<??:188m4762900e<?=:188k4ea2900qo<j1;297?6=8r.:i44>649K06c<@=8h7)?>d;05?l77n3:17d?>0;29?j7dn3:17pl=fb83>1<729q/=h751748L15b3A>9o6*>1e816>o68o0;66g>1183>>o6980;66a>cg83>>{e;9<1<7950;2x 4c>28<27E:<e:J76f=#98n1?85f11d94?=n98:1<75f10394?=n9881<75f10194?=n98>1<75`1bd94?=zj::?6=49:183!7b13;=46F;3d9K07e<,8;o6>:4i02e>5<<a8;;6=44i032>5<<a8;96=44i030>5<<g8im6=44}c0f`?6=;3:1<v*>e88220=O<:o0D9<l;%32`?7>3-;o97:;a:k24c<722c:==4?::m2gc<722wi>hk50;694?6|,8o26<89;I60a>N3:j1/=<j51e9'5a3=<=k0e<>i:188m4772900e<?>:188k4ea2900qo=me;290?6=8r.:i44>679K06c<@=8h7)?>d;01?l77n3:17d?>0;29?l7693:17b?lf;29?xd4k<0;6:4?:1y'5`?=9?30D9=j;I61g>"69m0896g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>cg83>>{e;j91<7850;2x 4c>28<37E:<e:J76f=#98n1?95f11d94?=n98:1<75f10394?=n9881<75f10194?=h9jl1<75rb36b>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<a8:m6=44i033>5<<g8im6=44}c07g?6=<3:1<v*>e88223=O<:o0D9<l;%32`?4?3-;o97:;9:k24c<722c:==4?::k254<722e:ok4?::a6d>=8391<7>t$0g:>4023A>8i6F;2b9'54b=901b==h50;9j546=831d=nh50;9~f7gf290?6=4?{%3f=?71>2B??h5G43a8 47c2;20(<j::56;?l77n3:17d?>0;29?l7693:17b?lf;29?xd6n00;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d48715=n99l1<75f10294?=h9jl1<75rb0d;>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69;?;h33b?6=3`;:<7>5;n3`b?6=3th:j:4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3==1b==h50;9j546=831d=nh50;9~f4`129086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::577?l77n3:17d?>0;29?j7dn3:17pl>f483>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9>5f11d94?=n98:1<75`1bd94?=zj8l?6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1343`;;j7>5;h324?6=3f;hj7>5;|`2b6<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4g9j55`=831b=<>50;9l5f`=831vn<h=:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>m7d??f;29?l7683:17b?lf;29?xd6n80;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d4870`=n99l1<75f10294?=h9jl1<75rb0d3>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69:j;h33b?6=3`;:<7>5;n3`b?6=3th:ik4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3=;1b==h50;9j546=831d=nh50;9~f4cb29086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::571?l77n3:17d?>0;29?j7dn3:17pl>ee83>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9<5f11d94?=n98:1<75`1bd94?=zj8oh6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1363`;;j7>5;h324?6=3f;hj7>5;|`2ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4e9j55`=831b=<>50;9l5f`=831vn<kn:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>o7d??f;29?l7683:17b?lf;29?xd5<m0;684?:1y'5`?=9?=0D9=j;I61g>"69m0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:=l1<7850;2x 4c>28<37E:<e:J76f=#98n1>45+1e7901?<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o0ae>5<<uk8>=7>57;294~"6m00::45G42g8L14d3-;:h7?l;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th9mo4?:483>5}#9l31=;94H51f?M25k2.:=i4>b:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>lj50;494?6|,8o26<87;I60a>N3:j1/=<j5289'5a3=<=20e<>i:188m4772900e<?>:188m4752900e<?<:188k4ea2900qo<nf;293?6=8r.:i44>689K06c<@=8h7)?>d;3`?l77n3:17d?>0;29?l7693:17d?>2;29?l76;3:17d?>4;29?j7dn3:17pl<0983>6<729q/=h751778L15b3A>9o6*>1e817>o68o0;66g>1183>>i6ko0;66sm31594?1=83:p(<k6:04:?M24m2B?>n5+10f970=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb2a4>5<4290;w)?j9;351>N3;l1C8?m4$03g>75<a8:m6=44i033>5<<g8im6=44}c1`2?6=?3:1<v*>e8822<=O<:o0D9<l;%32`?523`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;hj7>5;|`11=<72>0;6=u+1d;953?<@=9n7E:=c:&25a<6k2c:<k4?::k255<722c:=<4?::k257<722c:=>4?::k251<722e:ok4?::a6d3=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=9j1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f734290<6=4?{%3f=?7112B??h5G43a8 47c28i0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg42>3:187>50z&2a<<6>?1C8>k4H50`?!76l3;j7d??f;29?l7683:17d?>1;29?j7dn3:17pl=5483>2<729q/=h7517;8L15b3A>9o6*>1e81e>o68o0;66g>1183>>o6980;66g>1383>>o69:0;66g>1583>>i6ko0;66sm2c394?1=83:p(<k6:04:?M24m2B?>n5+10f95f=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb3c0>5<3290;w)?j9;352>N3;l1C8?m4$03g>4g<a8:m6=44i033>5<<a8;:6=44o0ae>5<<uk8j>7>57;294~"6m00::45G42g8L14d3-;:h7<n;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th?>54?:583>5}#9l31=;84H51f?M25k2.:=i4>019j55`=831b=<>50;9j547=831d=nh50;9~f142290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;h7;>5<<a?81<75f1e494?=h9m=1<75rb2:0>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd40=0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0j7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?j7dn3:17pl<2e83>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm33g94?5=83:p(<k6:046?M24m2B?>n5+10f963=n99l1<75f10294?=h9jl1<75rb20e>5<4290;w)?j9;351>N3;l1C8?m4$03g>70<a8:m6=44i033>5<<g8im6=44}c104?6=;3:1<v*>e88220=O<:o0D9<l;%32`?413`;;j7>5;h324?6=3f;hj7>5;|`074<72:0;6=u+1d;9533<@=9n7E:=c:&25a<5>2c:<k4?::k255<722e:ok4?::a764=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f65429086=4?{%3f=?71=2B??h5G43a8 47c2;<0e<>i:188m4772900c<mi:188yg54<3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7d??f;29?l7683:17b?lf;29?xd4;<0;6>4?:1y'5`?=9??0D9=j;I61g>"69m09:6g>0g83>>o6990;66a>cg83>>{e:on1<7;50;2x 4c>28<<7E:<e:J76f=#98n1=h5+1e79010<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c134?6=>3:1<v*>e8822==O<:o0D9<l;%32`?7a3-;o97:;6:k24c<722c:==4?::k254<722c:=?4?::k256<722e:ok4?::a754=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=:91b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f6e6290<6=4?{%3f=?7112B??h5G43a8 47c2;:0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg5e:3:1?7>50z&2a<<6><1C8>k4H50`?!76l330e<>i:188m4772900c<mi:188yg5c;3:187>50z&2a<<6>?1C8>k4H50`?!76l3;;<6g>0g83>>o6990;66g>1083>>i6ko0;66sm3b;94?5=83:p(<k6:046?M24m2B?>n5+10f95<=#9m?189l4i02e>5<<a8;;6=44o0ae>5<<uk9o=7>57;294~"6m00::45G42g8L14d3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th8oi4?:483>5}#9l31=;94H51f?M25k2.:=i4>e:&2`0<3<>1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f6ee290?6=4?{%3f=?71>2B??h5G43a8 47c28n0(<j::56a?l77n3:17d?>0;29?l7693:17b?lf;29?xd4ko0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0:j6*>d48702=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj;oh6=4=:183!7b13;==6F;3d9K07e<a8:n6=44o0ae>5<<uk9i87>52;294~"6m00::<5G42g8L14d3`;;i7>5;n3`b?6=3th?<i4?:ca94?6|,8o26<j7;I60a>N3:j1Q9:4l{01950<b2>036n4>7;37>4>=n3;=6o4rn71961=i>=0986`k3;28jc3=82.:<>4>079'552=9l20(<k::39'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<k53:&25c<43-;9<7=4$002>6=#9;81?6*>2280?!75<390(<<::29'570=;2.:>:4<;%31<?5<,8826>5+13c97>"6:k087)?=c;18 44c2:1/=?k53:&26c<43-;8<7=4$012>6=#9:81?6*>3280?!74<390(<=::29'560=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=;>51bg8 4bc28o<7)?ke;7:?!7cn3?27)?j1;77?!7b:3;n86*>5d81?!72n380(9=n:51:?!24j3>856*>e781?l7c13:17d;l:188m4652900e<jl:188m0b=831b=io50;9j5ad=831b==?50;9jef<72-;o87om;o3g7?6<3`kj6=4+1e69eg=i9m91=65fa883>!7c<3ki7c?k3;08?lg?290/=i:5ac9m5a5=;21b8>=50;&2`1<3;;1e=i=50:9j067=83.:h94;339m5a5=921b8>>50;&2`1<3;;1e=i=52:9j07`=83.:h94;339m5a5=;21doo4?:%3g0?ef3g;o?7>4;na:>5<#9m>1ol5a1e195>=hk>0;6)?k4;ab?k7c;3807bm9:18'5a2=kh1e=i=53:9lg0<72-;o87mn;o3g7?2<3fi?6=4+1e69gd=i9m91965`c283>!7c<3ij7c?k3;48?je5290/=i:5c`9m5a5=?21do<4?:%3g0?ef3g;o?764;na3>5<#9m>1ol5a1e19=>=hjo0;6)?k4;ab?k7c;3k07blj:18'5a2=kh1e=i=5b:9lff<72-;o87mn;o3g7?e<3fhi6=4+1e69gd=i9m91h65`b`83>!7c<3ij7c?k3;g8?jd>290/=i:5c`9m5a5=n21dn54?:%3g0?ef3g;o?7??;:ma3?6=,8n?6no4n0f0>47<3fh=6=4+1e69gd=i9m91=?54oc794?"6l=0hm6`>d2827>=hj=0;6)?k4;ab?k7c;3;?76am3;29 4b32jk0b<j<:078?jb5290/=i:5c`9m5a5=9?10ci?50;&2`1<di2d:h>4>7:9l`5<72-;o87mn;o3g7?7?32ehj7>5$0f7>fg<f8n86<74;naf>5<#9m>1ol5a1e195d=<gjn1<7*>d58`e>h6l:0:n65`cb83>!7c<3ij7c?k3;3`?>id03:1(<j;:bc8j4b428n07blk:18'5a2=kh1e=i=51d98kg4=83.:h94la:l2`6<6n21b?o4?:%3g0?5f3g;o?7>4;h1:>5<#9m>1?l5a1e195>=n;10;6)?k4;1b?k7c;3807d=8:18'5a2=;h1e=i=53:9j01<72-;o87=n;o3g7?2<3`>86=4+1e697d=i9m91965f4383>!7c<39j7c?k3;48?l26290/=i:53`9m5a5=?21b8=4?:%3g0?5f3g;o?764;h1e>5<#9m>1?l5a1e19=>=n;l0;6)?k4;1b?k7c;3k07d=k:18'5a2=;h1e=i=5b:9j7f<72-;o87=n;o3g7?e<3`9=6=4+1e697d=i9m91h65f4`83>!7c<3>27c?k3;28?l2?290/=i:5489m5a5=921b8:4?:%3g0?2>3g;o?7<4;h65>5<#9m>1845a1e197>=n=:0;6)?k4;6:?k7c;3>07d;=:18'5a2=<01e=i=55:9j14<72-;o87:6;o3g7?0<3`?;6=4+1e690<=i9m91;65f4g83>!7c<3>27c?k3;:8?l2b290/=i:5489m5a5=121b8i4?:%3g0?2>3g;o?7o4;h6`>5<#9m>1845a1e19f>=n<k0;6)?k4;6:?k7c;3i07d:::18'5a2=<01e=i=5d:9je5<72-;o877i;o3g7?6<3`3n6=4+1e69=c=i9m91=65f9b83>!7c<33m7c?k3;08?l?e290/=i:59g9m5a5=;21b5l4?:%3g0??a3g;o?7:4;h;:>5<#9m>15k5a1e191>=n110;6)?k4;;e?k7c;3<07d78:18'5a2=1o1e=i=57:9j=3<72-;o877i;o3g7?><3`3>6=4+1e69=c=i9m91565f9583>!7c<33m7c?k3;c8?l?4290/=i:59g9m5a5=j21b5<4?:%3g0??a3g;o?7m4;h;3>5<#9m>15k5a1e19`>=n0o0;6)?k4;;e?k7c;3o07d6j:18'5a2=1o1e=i=5f:9j<a<72-;o877i;o3g7?7732c3o7>5$0f7><`<f8n86<?4;h:a>5<#9m>15k5a1e1957=<a1k1<7*>d58:b>h6l:0:?65f8883>!7c<33m7c?k3;37?>o?03:1(<j;:8d8j4b428?07do8:18'5a2=1o1e=i=51798md0=83.:h946f:l2`6<6?21bm84?:%3g0??a3g;o?7?7;:kb0?6=,8n?64h4n0f0>4?<3`k86=4+1e69=c=i9m91=l54i`094?"6l=02j6`>d282f>=ni80;6)?k4;;e?k7c;3;h76g6d;29 4b320l0b<j<:0f8?l?5290/=i:59g9m5a5=9l10e5950;&2`1<>n2d:h>4>f:9lbd<72-;o87h6;o3g7?6<3fl36=4+1e69b<=i9m91=65`f683>!7c<3l27c?k3;08?j`1290/=i:5f89m5a5=;21bn<4?:%3g0?d73g;o?7>4;hce>5<#9m>1n=5a1e195>=nil0;6)?k4;`3?k7c;3807dok:18'5a2=j91e=i=53:9lbc<72-;o87hj;o3g7?6<3flo6=4+1e69b`=i9m91=65`fb83>!7c<3ln7c?k3;08?j`e290/=i:5fd9m5a5=;21dii4?:%3g0?cd3g;o?7>4;nga>5<#9m>1in5a1e195>=hm00;6)?k4;g`?k7c;3807bk7:18'5a2=mj1e=i=53:9la2<72-;o87kl;o3g7?2<3fo=6=4+1e69af=i9m91965`e483>!7c<3oh7c?k3;48?jc3290/=i:5eb9m5a5=?21di>4?:%3g0?cd3g;o?764;ng1>5<#9m>1in5a1e19=>=hm80;6)?k4;g`?k7c;3k07bk?:18'5a2=mj1e=i=5b:9l``<72-;o87kl;o3g7?e<3fno6=4+1e69af=i9m91h65`db83>!7c<3oh7c?k3;g8?jbe290/=i:5eb9m5a5=n21dhl4?:%3g0?cd3g;o?7??;:mg=?6=,8n?6hm4n0f0>47<3fn36=4+1e69af=i9m91=?54oe594?"6l=0no6`>d2827>=hl?0;6)?k4;g`?k7c;3;?76ak5;29 4b32li0b<j<:078?j`3290/=i:5eb9m5a5=9?10ck=50;&2`1<bk2d:h>4>7:9lb7<72-;o87kl;o3g7?7?32em=7>5$0f7>`e<f8n86<74;nd3>5<#9m>1in5a1e195d=<gll1<7*>d58fg>h6l:0:n65`ed83>!7c<3oh7c?k3;3`?>ibi3:1(<j;:da8j4b428n07bji:18'5a2=mj1e=i=51d98ka2=83.:h94jc:l2`6<6n21b8>650;&2`1<3;>1e=i=50:9j060=83.:h94;369m5a5=921b8>;50;&2`1<3;>1e=i=52:9j062=83.:h94;369m5a5=;21b;k4?:%3g0?1b3g;o?7>4;h5g>5<#9m>1;h5a1e195>=n?k0;6)?k4;5f?k7c;3807d9n:18'5a2=?l1e=i=53:9j3<<72-;o879j;o3g7?2<3`=36=4+1e693`=i9m91965f7683>!7c<3=n7c?k3;48?l11290/=i:57d9m5a5=?21b;84?:%3g0?1b3g;o?764;h57>5<#9m>1;h5a1e19=>=n?:0;6)?k4;5f?k7c;3k07d9=:18'5a2=?l1e=i=5b:9j35<72-;o879j;o3g7?e<3`<m6=4+1e693`=i9m91h65f6d83>!7c<3=n7c?k3;g8?l0c290/=i:57d9m5a5=n21b:n4?:%3g0?1b3g;o?7??;:k5f?6=,8n?6:k4n0f0>47<3`<j6=4+1e693`=i9m91=?54i7;94?"6l=0<i6`>d2827>=n>10;6)?k4;5f?k7c;3;?76g97;29 4b32>o0b<j<:078?l>1290/=i:57d9m5a5=9?10e5;50;&2`1<0m2d:h>4>7:9j<1<72-;o879j;o3g7?7?32c3?7>5$0f7>2c<f8n86<74;h:1>5<#9m>1;h5a1e195d=<a1;1<7*>d584a>h6l:0:n65f8183>!7c<3=n7c?k3;3`?>o0k3:1(<j;:6g8j4b428n07d9>:18'5a2=?l1e=i=51d98m30=83.:h948e:l2`6<6n21v97j:181[20m27?<i48f:p0<e=838pR99k;<63`?1c3ty?5l4?:3y]02d<5=:o6:l4}r6:=?6=:rT?;l5241f93d=z{=336=4={_64=>;38m0<56s|48594?4|V==370:?d;5;?xu31?0;6?uQ4658916c2>=0q~:65;296~X3??168=j5779~w1?32909wS:85:?74a<0=2wx84=50;0xZ11334>;h79;;|q7=7<72;qU8:=4=52g>25<uz>2=7>52z\737=:<9n1;?5rs5:e>5<5sW><<63;0e844>{t<1o1<7<t^54e?827l3<m7p};8e83>7}Y<?o019>k:7g8yv2?k3:1>vP;6e9>05b=>m1v96m:181[21k27?<i49c:p0=g=838pR98m;<63`?0e3ty?444?:3y]03g<5=:o6;o4}r6;<?6=:rT?:45241f92<=z{=2<6=4={_65<>;38m0=46s|49494?4|V=<<70:?d;44?xu3i<0;6?uQ4968916c21<0q~:n4;296~X30:168=j5849~w1g42909wS:72:?74a<?<2wx8l<50;0xZ1>634>;h76<;|q7e4<72;qU85>4=52g>=4<uz>j<7>52z\73c=:<9n14<5rs5;e>5<5sW><o63;0e8;4>{t<0h1<7<t^552?827l3=h7p};9183>7}Y<?<019>k:638yv2?=3:1>vP;649>05b=>?1v8=?:180[35>2789:4>0g9>70e=98:0q~;=e;297~X2:<16?8851038963e28;97p}:2e83>6}Y=;>01>;9:02e?852j3;:=6s|53a94?5|V<8870=:5;325>;4=h0:=?5rs40a>5<4sW?9>63<54824c=:;<k1=<?4}r71e?6=;rT>><523469547<5:?26<?=;|q66<<72:qU9?>4=277>46a349>57?>1:p17>=839pR8?i;<167?769278954>139~w0402908wS;>e:?016<68o16?8651038yv33;3:1?vP:389>71>=99l01>:k:033?xu2<80;6>uQ52:8962028;:70=;c;326>{t==:1<7=t^414?853?3;;j63<4b8254=z{<9m6=4<{_702>;4<?0:=<5235`9544<uz?8i7>53z\670=:;=<1==h4=26a>4763ty>?i4?:2y]162<5:>>6<?>;<17e?76:2wx9>m50;1xZ054349?97??f:?00d<6981v8=m:180[34:278894>109>71?=9880q~;<a;297~X2;816?9:511d8962>28;:7p}:4583>17|V<>?70<j7;7;?84b>3?370<j9;7;?825:3?370:=1;7;?826j3?370:>a;7;?82613?370:=0;7;?855;3?370==2;7;?85593?370==0;7;?856n3?370=>e;7;?856l3?370=>c;7;?856j3?370=>1;7;?85683?370=?f;7;?857m3?370=?d;7;?857k3?370=?b;7;?857i3?370=?9;7;?840<3?370<83;7;?840:3?370<81;7;?84083?370<9f;7;?841m3?370<9d;7;?841k3?370<mb;7;?84ei3?370<m9;7;?84e03?370<m7;7;?84e>3?370<m5;7;?84e<3?370<m3;7;?84>03?370<67;7;?84>>3?370<65;7;?84><3?370<63;7;?84>:3?370<61;7;?84>83?370<=d;7;?845k3?370<=b;7;?845i3?370<=9;7;?84503?370<=7;7;?845>3?370<=5;7;?827l3?o7p}:4g83>0}Y==l01?km:02e?84b03;;j63;0g824c=::l>1==h4}r765?6=?rT>9<5240091`=:<8?1=i84=537>4b134>9<7?k6:?756<6l?168?;51e48yv3203:19kuQ54:896d72<201>oi:4:891752<2019<8:4:891722<2019<9:4:891732<2019?i:4:8917b2<2019?<:4:8961>2<201>97:4:896102<201>99:4:896122<201>9;:4:896142<201>9=:4:896162<2019>l:4:8916e2<2019>n:4:8916>2<2019>7:4:891602<2019>9:4:891622<2019>;:4:896`f2<201>h6:4:896`?2<201>h8:4:896`12<201>h::4:896`32<201>h<:4:896`52<201>k7:4:896c02<201>k9:4:896c22<201>k;:4:896c42<201>k=:4:896c62<201>k?:4:896b12<201>j::4:896b32<201>j=:4:896b72<201>mj:4:896ed2<201>mn:4:896e?2<201??m:4:8977f2<201??6:4:8977?2<201??8:4:897712<201??::4:897732<201??<:4:897052<201?8>:4:897072<201?;i:4:8973b2<201?;k:4:8973d2<201?;m:4:8973f2<201?=i:4:8975b2<201?=k:4:8975d2<201?=m:4:8975f2<201?=6:4:8975?2<201?=8:4:897>12<201?6::4:897>32<201?6<:4:897>52<201?6>:4:897>72<201?9i:4:8971b2<2019<::4:896>42<2019>k:4a8yv31;3:1mvP:629>7g7=99l01>6::02e?85e:3;;j63<d2824c=:;j31==h4=2f2>477349hh7?>0:?0gg<69916?nh51028yv21<3:1;ouQ4708Z1063W>=<6P;5g9]00c<V=?o7S::c:\71g=Y<<k0R8:k;_77g>X2<k1U99o4^46:?[3302T>8:5Q5548Z0223W?==6P:619]10`<V<?n7S;:d:\61f=Y=<h0R8;n;_76=>X3=<1U8884^5d0?[2a<2T>985Q5458Z0353W>=863;3g824f=:<8h1:?5240c927=:<831:?52432927=:<8l1:?5240g927=:<891:?5239f920=:;1i1:85239`920=:;1k1:85239g920=:;h:1:85238d920=:;0o1:85238f920=:;h;1:8522b1920=::j81:8522b3920=::j:1:8522b6920=::m<1:8522e7920=::m>1:8522e1920=::m=1:85233691g=:;;>1=h>4=50;>47634>;h7?k9:?74a<6lh168=j51e`8916c2hi019>k:`c8916c2h3019>k:`:8916c2:l019>k:2g8916c2:n019>k:2a8916c2:<019>k:5g8916c2=n019>k:5a8916c2=h019>k:578916c2h:019>k:8g8916c20i019>k:8`8916c20k019>k:8;8916c202019>k:858916c20<019>k:878916c20>019>k:818916c20;019>k:828916c21l019>k:9g8916c21n019>k:9a8916c21h019>k:9c8916c213019>k:9:8916c2h=019>k:`48916c2h?019>k:`68916c2h9019>k:`08916c2h;019>k:8f8916c208019>k:958916c2k;019>k:`d8916c2ho019>k:`f8916c2=9370:?d;602>;38m0??85241f9062<uz>oj7>52z\7fc=:<9n1ii5rs5fg>5<5sW>ii63;0e8ff>{t<mh1<7<t^5``?827l3o27p};d`83>7}Y<kh019>k:d:8yv2c13:1>vP;b`9>05b=m>1v9j7:181[2e127?<i4j6:p0a1=838pR9l7;<63`?c23ty?h;4?:3y]0g1<5=:o6h:4}r6g1?6=:rT?n;5241f9a6=z{=n?6=4={_6a1>;38m0n>6s|4e194?4|V=h?70:?d;g2?xu3l;0;6?uQ4c18916c2l:0q~:k0;296~X3j8168=j5dd9~w1ea2909wS:m0:?74a<cl2wx8nk50;0xZ1ga34>;h7jl;|q7ga<72;qU8lk4=52g>ad<uz>ho7>52z\7ea=:<9n1hl5rs5aa>5<5sW>jo63;0e8g=>{t<jk1<7<t^5ca?827l3n37p};c883>7}Y<hk019>k:e58yv2d03:1>vP;a89>05b=l?1v9m8:181[2f027?<i4k5:p0`0=838pR9m:;<63`?`33ty?i84?:3y]0f2<5=:o6k=4}r6f0?6=:rT?o>5241f9b7=z{=o86=4={_6`6>;38m0m=6s|4d094?4|V=i:70:?d;d3?xu3m80;6?uQ4b28916c2ll0q~:j0;296~X3jm168=j5ed9~w1bd2909wS:m2:?74a<bi2wx8i?50;0xZ1g034>;h7ji;|q7g3<72;qU8l84=52g>a2<uz8n;7>53z\7a==::l=1=i94=3g;>4773ty8n=4?:3y]0`c<5:h;6<j8;|q0<6<72:qU9<=4=2:0>4b0349387??f:p6`?=838pR8;9;<0f=?7c?2wx=;k50;5x912728n:70=7a;7a?85>l3?i70<l0;7a?84c;3?i70=<f;7a?853m3?i7p}=e283>6}::l=1:?522d4927=::l>1=nh4}r62<?6=9?q6>h951e4897c128n=70<j9;7f?826j3;o;63<2286a>;4:;0>i63<2086a>;4:90>i63<1g86a>;49l0>i63<1e86a>;49j0>i63<1c86a>;4980>i63<1186a>;48o0>i63<0d86a>;48m0>i63<0b86a>;48k0>i63<0`86a>;4800:h;5rs3g5>5<3s48n:7?k7:?1ag<699168=h5103897c328;;7p}=e`83>7}::l31:?522d:95f`<uz9jh7>53z?0f5<1:278mk492:?0<0<6ko1v9?;:18685e83;o:63<ag82`3=:<8?1:?5240695a1<5:286<j9;|q0ec<72?q6?lh51e5896d628;;70=75;325>;40=0:=<523c09546<5:h?6<>j;|q750<72;q68<<5639>043=9m=0q~:>2;297~;39;0:h:523979546<5:2?6<??;|q764<72:q68?<5639>077=9m=019<?:4;8yv25:3:1>v3;2382`2=:<;>1==h4}r612?6=;r7?>:492:?763<6l>168?6511d8yv25?3:1>v3;2682`2=:<;k1==h4}r614?6=;r7?><492:?765<6l>168?:51028yv25=3:18v3;27856>;3:h0:==5243:9546<5=8>6<j8;|q756<72;q68<:5639>045=9m=0q~:=3;290~;39k0:h;5240c95a0<5=;26<j9;<610?7dn2wx8<950;06826i3;o;63=7586a>;5?:0>i63=7386a>;5?80>i63=7186a>;5>o0>i63=6d86a>;5>m0>i63=6b86a>;5jk0>i63=b`86a>;5j00>i63=b986a>;5j>0>i63=b786a>;5j<0>i63=b586a>;5j:0>i63=9986a>;51>0>i63=9786a>;51<0>i63=9586a>;51:0>i63=9386a>;5180>i63=9186a>;5:m0>i63=2b86a>;5:k0>i63=2`86a>;5:00>i63=2986a>;5:>0>i63=2786a>;5:<0>i6s|40494?5|5=;26<j8;<63b?76827?<i4>db9~w14>2908w0:>f;3g2>;39l0:h;5243c95f`<uz>:h7>52dy>04`=9m=01>96:4g8961?2<o01>98:4g896112<o01>9::4g896132<o01>9<:4g896152<o01>9>:4g8916d2<o019>m:4g8916f2<o019>6:4g8916?2<o019>8:4g891612<o019>::4g891632<o01>hn:4g896`>2<o01>h7:4g896`02<o01>h9:4g896`22<o01>h;:4g896`42<o01>h=:0f5?85b03?n70=j7;7f?85b>3?n70=j5;7f?85b<3?n70=j3;7f?85b:3?n70=j1;3g2>;4m90>i63<d786a>;4l<0>i63<d586a>;4l;0>i63<d186a>;4kl0>i63<cb86a>;4kh0:h;523b:95a0<uz>:o7>524y>04c=9m=01??m:4g8977f2<o01??6:4g8977?2<o01??8:4g897712<o01??::4g897732<o01??<:4g897052<o01?8>:4g897072<o01?;i:4g8973b2<o01?;k:4g8973d2<o01?;m:4g8973f2<o01?=i:4g8975b2<o01?=k:4g8975d2<o01?=m:4g8975f2<o01?=6:4g8975?2<o01?=8:4g897>12<o01?6::4g897>32<o01?6<:4g897>52<o01?6>:4g897>72<o01?9i:4g8971b2<o0q~=78;296~;40m0>n63<8b82gc=z{:3>6=4={<1;`?7b82785;4>cg9~w6>>2909w0=7d;3`b>;40l0>n6s|39594?4|5:2h68l4=2:a>4ea3ty85>4?:3y>7=e=9l:01>7;:0ae?xu40?0;6?u239`91g=:;1k1=nh4}r1:5?6=:r784o4>e19>7<4=9jl0q~=7f;296~;40h0:i=5238295f`<uz92;7>52z?0<`<6m916?4651bd8yv5fk3:1>v3<8d82gc=:;1?1=<=4}r1:f?6=:r78m=4:b:?0=c<6ko1v>o7:18185f83;n<63<a882gc=z{:3h6=4={<1b4?7dn278m<4:b:p7<g=838p1>7i:4`896?b28im7p}<a783>7}:;0l1=h>4=2c4>4ea3ty8544?:3y>7<c==k16?4j51bd8yv5f<3:1>v3<9d82a5=:;h?1=nh4}r1b6?6=:r785i4>e19>7d5=9jl0q~=na;296~;4i80:i=523``95f`<uz9ji7>52z?0e4<6ko16?5;51008yv4em3:1>v3=c286f>;5k;0:ok5rs3aa>5<5s48h?7?j0:?1gf<6ko1v?li:18184d;3;hj63=c586f>{t:kn1<7<t=3a1>0d<5;i:6<mi;|q1g<<72;q6>n<51d2897ef28im7p}=bb83>7}::j;19o522b295f`<uz8h;7>52z?1g4<6m916>n651bd8yv4d=3:1>v3=c182a5=::j<1=nh4}r0``?6=:r79o94>e19>6fc=9jl0q~<j2;296~;5k=0:ok522d69547<uz8o=7>52z?1`3<2j279h84>cg9~w7bb2909w0<k6;3f4>;5lo0:ok5rs3f1>5<5s48o:7?lf:?1`2<2j2wx>i>50;0x97b22<h01?j;:0ae?xu5lj0;6?u22e795`6<5;no6<mi;|q1gc<72;q6>i:55c9>6a5=9jl0q~<ka;296~;5l=0:i=522e`95f`<uz8o47>52z?1`6<6m916>i751bd8yv4b83:1>v3=d682a5=::l;1=nh4}r0f1?6=:r79h:4>cg9>6`2=9880q~:>0;2956}:;;91945233091<=:;;;1945233291<=:;8l1945230g91<=:;8n1945230a91<=:;8h1945230391<=:;8:1945231d91<=:;9o1945231f91<=:;9i1945231`91<=:;9k1945231;91<=::lh1=nh4}r0e3?6=<r78>>492:?054<6l>16>h?51028966028:m7p}<1`83>0}:;;91=i94=30g>34<5;in6<??;<3e5?76827?<i4;a:p6c0=83?p1><=:708967728n<70<kf;325>;48?0:<k523159546<uz9:57>55z?067<6l>16>nm5100894`628:m70?i0;324>;38m0?46s|2g794?0|5:8:6;<4=22e>4b0348oj7?>2:?043<69916?=:511d8966?28:m7p}<1983>0}:;;;1=i94=3a`>47634;m<7??f:?2ac<699168=j5469~w7`3290<w0==0;41?857m3;o;63=de8254=:;9<1=<?4=227>477349;47?>0:?047<68o1v>?8:18685583;o;63=c`8257=:9ll1==h4=0gf>47734>;h7:9;|q1b6<721q6?<h5639>75b=9m=01?jk:031?857>3;:>63<058254=:;9=1=<?4=223>46a349;>7?>0:p740=83?p1>?i:0f4?84di3;:=63>ed824c=:9ln1=<>4=52g>05<uz8m>7>59z?05`<1:278<n4>d69>6ad=98801>>9:030?857<3;:>63<068257=::on1==h4=223>477349;>7?>1:p743=83?p1>?j:0f4?84d03;:>63>ee824c=:9li1=<>4=52g>04<uz8m=7>58z?05a<1:278<o4>d69>6ad=98;01?hl:02e?84bm3;;j63=fe8257=:;9:1=<=4=221>4733ty8=94?:4y>74b=9m=01?m7:032?87bk3;;j63>ec8255=:<9n19<5rs3d3>5<>s49:o78=;<13e?7c?279h44>109>6ce=98:01?kk:033?84bm3;:=63=fe8254=:;9:1=<<4=221>4743ty8=>4?:4y>74e=9m=01?m9:031?87bj3;;j63>e`8255=:<9n19=5rs3ge>5<fs49:n78=;<13=?7c?279h44>139>6ce=98;01?kk:02e?84bm3;:<63=fe8255=:;9:1=<?4=221>475348no7??e:p744=83>p1>?m:0f4?84d>3;:=63>e`824c=:<9n18k5rs224>5<5s49:=78=;<133?7dn2wx?=;50;0x96772?801>>9:0ae?xu48:0;6?u231d927=:;9>1=nh4}r135?6=:r78<h492:?047<6ko1v?hi:181857l3<970=?0;3`b>{t:oh1<7<t=22`>34<5;lo6<mi;|q1bd<72;q6?=l5639>6`c=9jl0q~<i9;296~;48h0=>63=ee82gc=z{;l36=4={<13=?05348no7?lf:p714=838p1>:<:4`8962528im7p}<4e83>7}:;=91:85235f95f`<uz9?47>52z?006<6m916?9651bd8yv51?3:1>v3<4282gc=:;1>1=<=4}r144?6==r78854>119>71b=99l01>;8:033?852k3;;j63<7882`2=z{:>:6=4={<176?3e349?=7?lf:p71e=838p1>:=:778962d28im7p}<4683>7}:;=81=h>4=264>4ea3ty8:h4?:4y>711=98:01>:l:033?852>3;:<63<5c8255=:;>=1=i94}r15b?6==r788:4>139>71e=99l01>;9:031?852j3;;j63<7982`2=z{:>;6=4={<175?3e349?<7?lf:p71d=838p1>:>:778962e28im7p}<4783>7}:;=;1=h>4=265>4ea3ty8:n4?:4y>710=98:01>:m:033?852=3;:<63<5`8255=:;>?1=i94}r15`?6==r788;4>139>71d=99l01>;::031?852i3;;j63<7782`2=z{:9m6=4={<174?3e3498j7?lf:p71g=838p1>:?:778962f28im7p}<4483>7}:;=:1=h>4=266>4ea3ty8:l4?:4y>713=98:01>:n:033?852<3;:<63<588255=:;>91=i94}r15f?6==r78884>139>71g=99l01>;;:031?85213;;j63<7582`2=z{:>26=4={<10b?02349?57?lf:p712=838p1>=i:0g3?853<3;hj6s|37:94?3|5:>?6<??;<17=?7682789>4>119>70>=98:01>9>:0f4?xu4>00;68u23569544<5:>26<>i;<167?76:278954>0g9>724=9m=0q~=:1;296~;4=;0>n63<5082gc=z{:?h6=4={<166?02349>o7?lf:p701=838p1>;=:0g3?852?3;hj6s|34094?4|5:?96<mi;<1;0?76:2wx?8>50;0x96362<h01>;?:0ae?xu4=k0;6?u2343920=:;<h1=nh4}r162?6=:r789<4>e19>700=9jl0q~=;f;296~;4=90>n63<4g82gc=z{:?j6=4={<164?02349>m7?lf:p703=838p1>;?:0g3?852=3;hj6s|35g94?4|5:>m68l4=26f>4ea3ty8944?:3y>71`=><16?8751bd8yv52<3:1>v3<4g82a5=:;<>1=nh4}r16<?6=:r788h495:?01=<6ko1v>;<:181853m3;n<63<5282gc=z{:8h6=4={<10a?3e3499o7?lf:p763=838p1>=j:041?854=3;hj6s|39094?4|5:9n6<mi;<14=?053ty8>o4?:2y>76b==k16??m55c9>77d=9jl0q~=<4;297~;4;m0::?5233a95`6<5:9?6<mi;|q0<4<72;q6?>j51bd8961?2?80q~=i0;291~;4:j0=963;0c856>;4n00:h:523849546<5:9?6<>i;|q06d<72:q6?>m55c9>77d==k16??o51bd8yv54;3:1?v3<3b8227=:;;h1=h>4=210>4ea3ty84=4?:3y>76e=9jl01>98:708yv5bn3:19v3<2c851>;38h0=>63<f982`2=:;0<1=<<4=210>46a3ty8>44?:2y>76d==k16??o55c9>77?=9jl0q~=<2;297~;4;k0::?5233c95`6<5:996<mi;|q03c<72;q6?>l51bd896112?80q~=je;291~;4:h0=963;08856>;4n>0:h:523869546<5:996<>i;|q06=<72:q6?>o55c9>77?==k16??651bd8yv5493:1?v3<3`8227=:;;31=h>4=212>4ea3ty8;h4?:3y>76g=9jl01>9::708yv5bl3:19v3<28851>;3810=>63<f782`2=:;0>1=<<4=212>46a3ty8>:4?:2y>76?==k16??655c9>771=9jl0q~=<0;297~;4;00::?5233:95`6<5:9;6<mi;|q03a<72;q6?>751bd896132?80q~=jc;291~;4:10=963;06856>;4n<0:h:523809546<5:9;6<>i;|q063<72:q6?>655c9>771==k16??851bd8yv55n3:1?v3<398227=:;;=1=h>4=20e>4ea3ty8;n4?:3y>76>=9jl01>9<:708yv5bj3:19v3<26851>;38?0=>63<f582`2=:;081=<<4=20e>46a3ty8>84?:2y>761==k16??855c9>773=9jl0q~==e;297~;4;>0::?5233495`6<5:8n6<mi;|q03g<72;q6?>951bd896152?80q~=ja;291~;4:?0=963;04856>;4n:0:h:523829546<5:8n6<>i;|q061<72:q6?>855c9>773==k16??:51bd8yv55l3:1?v3<378227=:;;?1=h>4=20g>4ea3ty8;l4?:3y>760=9jl01>9>:708yv5b13:19v3<24851>;38=0=>63<f382`2=:;0:1=<<4=20g>46a3ty8n<4?:02x96432??01>k7:4;896c02<301>k9:4;896c22<301>k;:4;896c42<301>k=:4;896c62<301>k?:4;896d528im70:?d;335>;38m0??>5241f9067<5=:o69=?;<63`?25n2wx8<?50;35827k3?270:?b;7:?827i3?270:?9;7:?82703?270:?7;7:?827>3?270:?5;7:?827<3?270=ia;7:?85a13?270=i8;7:?85a?3?270=i6;7:?85a=3?270=i4;7:?85a;3?270=i2;7:?85c>3?270=k5;7:?85c<3?270=m1;3`b>{t;o;1<7:t=52`>34<5:lj6<j8;<1:<?768278?84>0g9~w164290?w0:?c;3g3>;50?0=>63>f88255=:<9n1?o5rs521>5<3s4>;n7?k7:?2b<<68o16=k651028916c2:30q~:?1;290~;38h0:h:521g:955`<58l<6<??;<63`?5?3ty?<=4?:5y>05?=9m=01<h8:02e?87a>3;:<63;0e803>{t;ol1<7:t=52;>4b034;m:7??f:?2b0<699168=j5459~w6`b290?w0:?7;3g3>;6n<0:<k521g69546<5=:o69=4}r1e`?6=<r7?<;4>d69>5c2=99l01<h<:033?827l3>97p}<fb83>1}:<9?1=i94=0d0>46a34;m>7?>0:?74a<392wx?kl50;1x916328n<70?i2;33b>;38m0?<6s|3ed94?5|5:lj6;<4=2g;>4b0349jn7??f:p7ac=839p1>h6:70896c028n<70=n9;324>{t;mn1<7=t=2d;>34<5:o=6<j8;<1b=?77n2wx?im50;1x96`02?801>k::0f4?85f?3;:<6s|3e`94?5|5:l=6;<4=2g7>4b0349j;7??f:p7ag=839p1>h::70896c428n<70=n5;324>{t;m31<7=t=2d7>34<5:o96<j8;<1b1?77n2wx?i650;1x96`42?801>k>:0f4?85f;3;:<6s|3e594?5|5:l96;<4=2g3>4b0349j?7??f:p7gb=839p1>k7:70896b128n<70=l6;33b>{t;ki1<7:t=2g4>34<5:n>6<j8;<1`1?77n278o;4>119~w6de290>w0=j6;41?85c<3;o;63<c48255=:;j91==h4=2a4>46a3ty8nl4?:6y>7`3=>;16?i<51e5896e228;:70=l3;324>;4k>0:==523b3955`<5:n86<??;|q0f<<72>q6?h:5639>7a6=9m=01>m::031?85d;3;:=63<c78254=:;j;1=<>4=2f2>46a3ty8n54?:9y>7`5=>;16?nk51e5896e228;870=l3;326>;4k?0:=?523b39547<5:n:6<?>;<1`b?77n2wx?o950;5x96c52?801>ml:0f4?85em3;;j63<c08251=:;m;1=<:4=2ag>46a349hj7?>3:p7g0=832p1>k>:70896ef28n<70=me;324>;4k80:=>523e39545<5:io6<?=;<1`f?77n278ok4>139~w6d22902w0=j0;41?85d03;o;63<bd8254=:;j;1=<<4=2a:>477349o=7?>2:?0ga<69816?nl5103896ea28;:7p}<c783>7}:;m<1:?523b495f`<uz9h87>52z?0`0<1:278o84>cg9~w6e52909w0=k4;41?85d;3;hj6s|3c194?1|5:n96874=2f3>0?<5:in6874=2a`>0?<5:ij6874=2a;>0?<5:h?6<mi;|q0`6<72;q6?i<5639>7a5=9jl0q~=k1;296~;4l90=>63<d082gc=z{:im6=4={<1`a?05349hj7?lf:p7fb=838p1>ml:70896ec28im7p}<cc83>7}:;jk1:?523b`95f`<uz9h57>52z?0g=<1:278o44>cg9~w7g62903w0<84;41?84ej3;o;63=a98255=::hk1=<>4=3ca>475348jh7?>3:?1ec<69=16>l=51028yv41j3:1?v3=7582`2=::jo1==h4=3g2>46a3ty9m:4?:2y>625=>;16>l651bd897d628;?7p}=6`83>6}::>91=i94=3a`>477348oj7?>0:p6d?=838p1?9=:70897gf28im7p}=6883>6}::>81=i94=3a`>46a348oj7??f:p6de=839p1?9>:70897ge28im70<n5;320>{t:?21<7=t=352>4b0348hm7?>0:?1`a<6991v?oj:18184083<970<nd;3`b>{t:?=1<7=t=353>4b0348hm7??f:?1`a<68o1v?l?:181841n3<970<nf;3`b>{t:?<1<7=t=34e>4b0348h47?>0:?1`g<6991v?l=:181841m3<970<m1;3`b>{t:??1<7=t=34f>4b0348h47??f:?1`g<68o1v?o;:181841l3<970<n2;3`b>{t:?>1<7=t=34g>4b0348h:7?>0:?1`<<6991v?o9:181841k3<970<n5;3`b>{t:?91<7=t=34`>4b0348h:7??f:?1`<<68o1v?:7:18;846j3<970<92;3g3>;5<h0:==5225a9546<5;>o6<?=;<07b?76;2799<4>159>600=98:0q~<>2;290~;59k0:h:5238:955`<5:ki6<??;<101?7682wx>9750;1x977f2?801?:n:0ae?842;3;:86s|20394?2|5;;j6<j8;<1:2?77n278m44>139>762=98:0q~<;b;296~;5900=>63=4b82gc=z{;;;6=4;{<02=?7c?2785;4>109>7d?=98;01>=<:033?xu5<l0;6>u220:927=::=n1=nh4=37;>4733ty9<k4?:5y>64>=9m=01>7;:02e?85f?3;:>63<338255=z{;?;6=4={<023?05348?j7?lf:p65c=83>p1??8:0f4?85><3;:=63<a68254=:;:;1=<>4}r066?6=:r79=;492:?114<6ko1v?>k:187846>3;o;63<93824c=:;h?1=<<4=213>4773ty9994?:3y>643=>;16>8=51bd8yv47k3:18v3=1482`2=:;081=<?4=2c6>4763499j7?>0:p601=838p1??;:708973228im7p}=0c83>1}::8>1=i94=2;3>46a349j?7?>2:?06`<6991v?;6:181846;3<970<:8;3`b>{t:9k1<7:t=330>4b03492<7?>1:?0e6<69816??j51028yv4?n3:1>v3=bc856>;5110:h:5rs3:f>5<5s48im78=;<0:3?7c?2wx>l>50;5x97df28n<70<n8;33b>;5ih0:<k522``9547<5;ko6<?=;<0bb?76;279m>4>109~w7>c2909w0<m9;41?84>>3;o;6s|28d94?1|5;h26<j8;<0be?769279mo4>119>6db=98;01?oi:031?84e93;:>63=a38256=z{;2h6=4={<0a<?05348297?k7:p6<c=83<p1?l7:0f4?84fj3;;j63=ae8255=::hl1=<?4=3`2>474348j?7??f:p6=d=838p1?l8:70897?328n<7p}=9e83>3}::k=1=i94=3cg>46a348jj7?>0:?1e0<69816>o?5102897g528;:7p}=8`83>7}::k<1:?5228195a1<uz82o7>55z?1f3<6l>16>lh511d897g228;970<m1;325>;5i;0:=?5rs3::>5<5s48i978=;<0:6?7c?2wx>4l50;6x97d228n<70<n5;33b>;5j80:<k522`0955`<uz8347>52z?1f1<1:2795<4>d69~w7?f2908w0<m4;3g3>;5i<0:==522`09546<uz83;7>52z?1f6<1:2795=4>d69~w7?>2909w0<m3;3g3>;5i<0:=>5rs315>5<5s48=>78=;<00b?7c?2wx>>;50;0x97062?801?=j:0f4?xu5<>0;6:u227395a1<5;>j6<>i;<07g?77n2798i4>109>61`=98801?;>:030?842>3;:=6s|22694?4|5;<;6;<4=31g>4b03ty98;4?:6y>636=9m=01?:l:032?843l3;:<63=4g8254=::<;1=<<4=370>475348>97?>3:p665=838p1?;i:708975d28n<7p}=4483>3}::<l1=i94=36g>46a348?j7?>0:?114<69816>8=51018973128:m7p}=3383>7}::<o1:?5222`95a1<uz8?87>56z?11`<6l>16>9h511d8973628;;70<:8;325>;5=:0:==522479547<uz88=7>52z?11a<1:279?l4>d69~w724290>w0<:d;3g3>;5=80:<k5224:9544<5;?86<?>;<061?76:2wx>>>50;0x973d2?801?=6:0f4?xu5<;0;69u224a95a1<5;?36<>i;<067?77n279984>0g9~w74a2909w0<:b;41?84403;o;6s|25394?5|5;?i6<j8;<06<?768279984>119~w74b2909w0<:a;41?844?3;o;6s|25294?4|5;?j6<j8;<06<?76;2wx>:j50;0x97??2?801?69:0f4?xu5?j0;6?u2285927=::1?1=i94}r04f?6=:r795;492:?1<1<6l>1v?9n:18184>=3<970<73;3g3>{t:>31<7<t=3;7>34<5;296<j8;|q13=<72;q6>4=5639>6=7=9m=0q~<87;296~;51;0=>63=8182`2=z{;==6=4={<0:5?05348<j7?k7:p623=838p1?7?:708971b28n<7p}=2583>7}:::l1:?5223f95a1<uz89?7>52z?17`<1:279>n4>d69~w7452909w0<<d;41?845j3;o;6s|23394?4|5;9h6;<4=30b>4b03ty9>=4?:3y>66d=>;16>?751e58yv46n3:1>v3=3`856>;5:10:h:5rs33f>5<5s488578=;<013?7c?2wx><j50;0x975?2?801?<9:0f4?xu59j0;6?u2225927=::;?1=i94}r035?6=:r79>n492:?2b4<6ko1v?>?:181845j3<970?i0;3`b>{t9ol1<7<t=30b>34<58om6<mi;|q2b`<72;q6>?75639>5`c=9jl0q~?id;296~;5:10=>63>ee82gc=z{8lh6=4={<013?0534;no7?lf:p5cd=838p1?<9:70894ce28im7p}>f`83>7}::;?1:?521dc95f`<uz8;57>52z?1<0<1:27:j44>cg9~w76?2909w0<74;41?87a03;hj6s|21594?4|5;286;<4=0d4>4ea3ty9<;4?:3y>6=4=>;16=k851bd8yv47=3:1>v3=80856>;6n<0:ok5rs327>5<5s483<78=;<3e0?7dn2wx>==50;0x971a2?801<h<:0ae?xu58;0;6?u226g927=:9o81=nh4}r63a?6=:r7?<k4>cg9>05b=9980q~<ie;290~;5nj0:ok523149542<5::?6<?<;<133?76<2wx?oh50;6x96db28im70=l5;320>;4k:0:=>523b49542<uz;2o7>52z?04=<6ko16?=951018yv7e93:1>v3<c682gc=:;j<1=<=4}r3;6?6=:r799;4>cg9>603=98>0q~?67;296~;5i:0:ok522`09542<uz>947>52z?76=<6ko168?;5639~w6>32909w0=73;41?85?<3;hj6s|3b294?4|5:i:6<mi;<1g7?7692wv8;>:181[32927>:7;:1:&76g<6j>1v8;7:181[32027>:7;:8:&76g<6j11v8:;:181[33<27>:7;;4:&76g<6k;1v97j:181[20m27>:7:8e:&76g<6?>1v97l:181[20l27>:7:8d:&76g<60;1v97n:181[20j27>:7:8b:&76g<60m1v976:181[20i27>:7:8a:&76g<61=1v977:181[20127>:7:89:&76g<61?1v978:181[20027>:7:88:&76g<61>1v979:181[20?27>:7:87:&76g<6101v97::181[20>27>:7:86:&76g<61h1v97;:181[20=27>:7:85:&76g<61k1v97<:181[20<27>:7:84:&76g<61m1v97=:181[20;27>:7:83:&76g<61l1v97>:181[20:27>:7:82:&76g<61o1v96i:181[20827>:7:80:&76g<6i91v96j:181[21n27>:7:9f:&76g<6i81v96k:181[21m27>:7:9e:&76g<6i;1v96l:181[21l27>:7:9d:&76g<6i:1v96m:181[21k27>:7:9c:&76g<6i=1v96n:181[21j27>:7:9b:&76g<6i<1v966:181[21i27>:7:9a:&76g<6i?1v967:181[21127>:7:99:&76g<6i>1v968:181[21027>:7:98:&76g<6i11v969:181[21?27>:7:97:&76g<6i01v9o::181[2?<27>:7:74:&76g<6ih1v9o;:181[2?;27>:7:73:&76g<6ik1v9o<:181[2?:27>:7:72:&76g<6ij1v9o=:181[2?927>:7:71:&76g<6im1v9o>:181[2?827>:7:70:&76g<6il1v9o?:181[20n27>:7:8f:&76g<6io1v97i:181[20k27>:7:8c:&76g<6j91v97m:181[20927>:7:81:&76g<6j;1v97?:181[21>27>:7:96:&76g<6j:1v96::181[21=27>:7:95:&76g<6j=1v88<:181[31;27>:7;93:&76g<6j<1v8:i:181[33n27>:7;;f:&76g<6j?1v8=?:181[35>27>:7;=6:&76g<6j01v8<j:181[35=27>:7;=5:&76g<6jh1v8<k:181[35<27>:7;=4:&76g<6jk1v8<l:181[35;27>:7;=3:&76g<6jj1v8<m:181[35:27>:7;=2:&76g<6jm1v8<n:181[35927>:7;=1:&76g<6jl1v8<6:181[35827>:7;=0:&76g<6jo1v8<7:181[36n27>:7;>f:&76g<6k91v8<8:181[36m27>:7;>e:&76g<6k81v8:<:181[34127>:7;<9:&76g<6k:1v8:>:181[34027>:7;<8:&76g<6k=1v8:?:181[34?27>:7;<7:&76g<6k<1v8=i:181[34>27>:7;<6:&76g<6k?1v8=j:181[34=27>:7;<5:&76g<6k>1v8=k:181[34<27>:7;<4:&76g<6k11v8=l:181[34;27>:7;<3:&76g<6k01v8=m:181[34:27>:7;<2:&76g<6kh1v8=n:181[34927>:7;<1:&76g<6kk1v9ji:181[2en27>:7:mf:&76g<6>o1v9jk:181[2em27>:7:me:&76g<6?91v9jm:181[2ek27>:7:mc:&76g<6?81v9jn:181[2ej27>:7:mb:&76g<6?;1v9j6:181[2ei27>:7:ma:&76g<6?:1v9j7:181[2e127>:7:m9:&76g<6?=1v9j8:181[2e027>:7:m8:&76g<6?<1v9j9:181[2e?27>:7:m7:&76g<6??1v9j::181[2e>27>:7:m6:&76g<6?11v9j;:181[2e=27>:7:m5:&76g<6?01v9j<:181[2e<27>:7:m4:&76g<6?h1v9j=:181[2e;27>:7:m3:&76g<6?k1v9j?:181[2e927>:7:m1:&76g<6?j1v9mi:181[2e827>:7:m0:&76g<6?m1v9mj:181[2fn27>:7:nf:&76g<6?l1v9mk:181[2fm27>:7:ne:&76g<6?o1v9ml:181[2fl27>:7:nd:&76g<6091v9mm:181[2fk27>:7:nc:&76g<6081v9mn:181[2fj27>:7:nb:&76g<60:1v9m6:181[2fi27>:7:na:&76g<60=1v9m7:181[2f127>:7:n9:&76g<60<1v9m8:181[2f027>:7:n8:&76g<60?1v9k9:181[2d=27>:7:l5:&76g<60>1v9k::181[2d<27>:7:l4:&76g<6011v9k;:181[2d;27>:7:l3:&76g<6001v9k<:181[2d:27>:7:l2:&76g<60h1v9k=:181[2d927>:7:l1:&76g<60k1v9k>:181[2d827>:7:l0:&76g<60j1v9k?:181[2el27>:7:md:&76g<60l1v9jl:181[2e:27>:7:m2:&76g<60o1v9j>:181[2f?27>:7:n7:&76g<6191v9m9:181[2f>27>:7:n6:&76g<6181v9kj:181[2bm27>:7:je:&76g<61;1v9k7:181[2b027>:7:j8:&76g<61:1v8;9:181[32>27>:7;:6:&76g<61<1v8?<:181[36;27>:7;>3:&76g<6111vqco>7;296~N3:j1vbl?7:181M25k2wem<750;0xL14d3tdj=l4?:3yK07e<ugk:n7>52zJ76f=zfh;h6=4={I61g>{ii8n1<7<tH50`?xhf9l0;6?uG43a8ykg6n3:1>vF;2b9~jd472909wE:=c:me77=838pD9<l;|lb67<72;qC8?m4}oc17?6=:rB?>n5rn`07>5<5sA>9o6saa3794?4|@=8h7p`n2783>7}O<;i0qco=7;296~N3:j1vbl<7:181M25k2wem?750;0xL14d3tdj>l4?:3yK07e<ugk9n7>52zJ76f=zfh8h6=4={I61g>{ii;n1<7<tH50`?xhf:l0;6?uG43a8ykg5n3:1>vF;2b9~jd572909wE:=c:me67=838pD9<l;|lb77<72;qC8?m4}oc07?6=:rB?>n5rn`17>5<5sA>9o6saa2794?4|@=8h7p`n3783>7}O<;i0qco<7;296~N3:j1vbl=7:181M25k2wem>750;0xL14d3tdj?l4?:3yK07e<ugk8n7>52zJ76f=zfh9h6=4={I61g>{ii:n1<7<tH50`?xhf;l0;6?uG43a8ykg4n3:1>vF;2b9~jd272909wE:=c:me17=838pD9<l;|lb07<72;qC8?m4}oc77?6=:rB?>n5rn`67>5<5sA>9o6saa5794?4|@=8h7p`n4783>7}O<;i0qco;7;296~N3:j1vbl:7:181M25k2wem9750;0xL14d3tdj8l4?:3yK07e<ugk?n7>52zJ76f=zfh>h6=4={I61g>{ii=n1<7<tH50`?xhf<l0;6?uG43a8ykg3n3:1>vF;2b9~jd372909wE:=c:me07=838pD9<l;|lb17<72;qC8?m4}oc67?6=:rB?>n5rn`77>5<5sA>9o6saa4794?4|@=8h7p`n5783>7}O<;i0qco:7;296~N3:j1vbl;7:181M25k2wem8750;0xL14d3tdj9l4?:3yK07e<ugk>n7>52zJ76f=zfh?h6=4={I61g>{ii<n1<7<tH50`?xhf=l0;6?uG43a8ykg2n3:1>vF;2b9~jd072909wE:=c:me37=838pD9<l;|lb27<72;qC8?m4}oc57?6=:rB?>n5rn`47>5<5sA>9o6saa7794?4|@=8h7p`n6783>7}O<;i0qco97;296~N3:j1vbl87:181M25k2wem;750;0xL14d3tdj:l4?:3yK07e<ugk=n7>52zJ76f=zfh<h6=4={I61g>{ii?n1<7<tH50`?xhf>l0;6?uG43a8ykg1n3:1>vF;2b9~jd172909wE:=c:me27=838pD9<l;|l;f6<728qC8?m4}o:g<?6=9rB?>n5rn9f:>5<6sA>9o6sa8ec94?7|@=8h7p`7dc83>4}O<;i0qc6kc;295~N3:j1vb5jk:182M25k2we4ik50;3xL14d3td3hk4?:0yK07e<ug2n<7>51zJ76f=zf1o:6=4>{I61g>{i0l81<7?tH50`?xh?m:0;6<uG43a8yk>b<3:1=vF;2b9~j=c2290:wE:=c:m<`0=83;pD9<l;|l;a2<728qC8?m4}o:f<?6=9rB?>n5rn9g:>5<6sA>9o6sa8dc94?7|@=8h7p`7ec83>4}O<;i0qc6jc;295~N3:j1vb5kk:182M25k2we4hk50;3xL14d3td3ik4?:0yK07e<ug2m<7>51zJ76f=zf1l:6=4>{I61g>{i0o81<7?tH50`?xh?n:0;6<uG43a8yk>a<3:1=vF;2b9~j=`2290:wE:=c:m<c0=83;pD9<l;|l;b2<728qC8?m4}o:e<?6=9rB?>n5rn9d:>5<6sA>9o6sa8gc94?7|@=8h7p`7fc83>4}O<;i0qc6ic;295~N3:j1vb5hk:182M25k2we4kk50;3xL14d3td3jk4?:0yK07e<ug3;<7>51zJ76f=zf0::6=4>{I61g>{i1981<7?tH50`?xh>8:0;6<uG43a8yk?7<3:1=vF;2b9~j<62290:wE:=c:m=50=83;pD9<l;|l:42<728qC8?m4}o;3<?6=9rB?>n5rn82:>5<6sA>9o6sa91c94?7|@=8h7p`60c83>4}O<;i0qc7?c;295~N3:j1vb4>k:182M25k2we5=k50;3xL14d3td2<k4?:0yK07e<ug3:<7>51zJ76f=zf0;:6=4>{I61g>{i1881<7?tH50`?xh>9:0;6<uG43a8yk?6<3:1=vF;2b9~j<72290:wE:=c:m=40=83;pD9<l;|l:52<728qC8?m4}o;2<?6=9rB?>n5rn83:>5<6sA>9o6sa90c94?7|@=8h7p`61c83>4}O<;i0qc7>c;295~N3:j1vb4?k:182M25k2we5<k50;3xL14d3td2=k4?:0yK07e<ug39<7>51zJ76f=zf08:6=4>{I61g>{i1;81<7?tH50`?xh>::0;6<uG43a8yk?5<3:1=vF;2b9~j<42290:wE:=c:m=70=83;pD9<l;|l:62<728qC8?m4}o;1<?6=9rB?>n5rn80:>5<6sA>9o6sa93c94?7|@=8h7p`62c83>4}O<;i0qc7=c;295~N3:j1vb4<k:182M25k2we5?k50;3xL14d3td2>k4?:0yK07e<ug38<7>51zJ76f=zf09:6=4>{I61g>{i1:81<7?tH50`?xh>;:0;6<uG43a8yk?4<3:1=vF;2b9~j<52290:wE:=c:m=60=83;pD9<l;|l:72<728qC8?m4}o;0<?6=9rB?>n5rn81:>5<6sA>9o6sa92c94?7|@=8h7p`63c83>4}O<;i0qc7<c;295~N3:j1vb4=k:182M25k2we5>k50;3xL14d3td2?k4?:0yK07e<ug3?<7>51zJ76f=zf0>:6=4>{I61g>{i1=81<7?tH50`?xh><:0;6<uG43a8yk?3<3:1=vF;2b9~j<22290:wE:=c:m=10=83;pD9<l;|l:02<728qC8?m4}o;7<?6=9rB?>n5rn86:>5<6sA>9o6sa95c94?7|@=8h7p`64c83>4}O<;i0qc7;c;295~N3:j1vb4:k:182M25k2we59k50;3xL14d3td28k4?:0yK07e<ug3><7>51zJ76f=zf0?:6=4>{I61g>{i1<81<7?tH50`?xh>=:0;6<uG43a8yk?2<3:1=vF;2b9~j<32290:wE:=c:m=00=83;pD9<l;|l:12<728qC8?m4}o;6<?6=9rB?>n5rn87:>5<6sA>9o6sa94c94?7|@=8h7p`65c83>4}O<;i0qc7:c;295~N3:j1vb4;k:182M25k2we58k50;3xL14d3td29k4?:0yK07e<ug3=<7>51zJ76f=zf0<:6=4>{I61g>{i1?81<7?tH50`?xh>>:0;6<uG43a8yk?1<3:1=vF;2b9~j<02290:wE:=c:m=30=83;pD9<l;|l:22<728qC8?m4}o;5<?6=9rB?>n5rn84:>5<6sA>9o6sa97c94?7|@=8h7p`66c83>4}O<;i0qc79c;295~N3:j1vb48k:182M25k2we5;k50;3xL14d3td2:k4?:0yK07e<ug3<<7>51zJ76f=zf0=:6=4>{I61g>{i1>81<7?tH50`?xh>?:0;6<uG43a8yk?0<3:1=vF;2b9~j<12290:wE:=c:m=20=83;pD9<l;|l:32<728qC8?m4}o;4<?6=9rB?>n5rn85:>5<6sA>9o6sa96c94?7|@=8h7p`67c83>4}O<;i0qc78c;295~N3:j1vb49k:182M25k2we5:k50;3xL14d3td2;k4?:0yK07e<ug33<7>51zJ76f=zf02:6=4>{I61g>{i1181<7?tH50`?xh>0:0;6<uG43a8yk??<3:1=vF;2b9~j<>2290:wE:=c:m==0=83;pD9<l;|l:<2<728qC8?m4}o;;<?6=9rB?>n5rn8::>5<6sA>9o6sa99c94?7|@=8h7p`68c83>4}O<;i0qc77c;295~N3:j1vb46k:182M25k2we55k50;3xL14d3td24k4?:0yK07e<ug32<7>51zJ76f=zf03:6=4>{I61g>{i1081<7?tH50`?xh>1:0;6<uG43a8yk?><3:1=vF;2b9~j<?2290:wE:=c:m=<0=83;pD9<l;|l:=2<728qC8?m4}o;:<?6=9rB?>n5rn8;:>5<6sA>9o6sa98c94?7|@=8h7p`69c83>4}O<;i0qc76c;295~N3:j1vb47k:182M25k2we54k50;3xL14d3td25k4?:0yK07e<ug3j<7>51zJ76f=zf0k:6=4>{I61g>{i1h81<7?tH50`?xh>i:0;6<uG43a8yk?f<3:1=vF;2b9~j<g2290:wE:=c:m=d0=83;pD9<l;|l:e2<728qC8?m4}o;b<?6=9rB?>n5rn8c:>5<6sA>9o6sa9`c94?7|@=8h7p`6ac83>4}O<;i0qc7nc;295~N3:j1vb4ok:182M25k2we5lk50;3xL14d3td2mk4?:0yK07e<ug3i<7>51zJ76f=zf0h:6=4>{I61g>{i1k81<7?tH50`?xh>j:0;6<uG43a8yk?e<3:1=vF;2b9~j<d2290:wE:=c:m=g0=83;pD9<l;|l:f2<728qC8?m4}o;a<?6=9rB?>n5rn8`:>5<6sA>9o6sa9cc94?7|@=8h7p`6bc83>4}O<;i0qc7mc;295~N3:j1vb4lk:182M25k2we5ok50;3xL14d3td2nk4?:0yK07e<ug3h<7>51zJ76f=zf0i:6=4>{I61g>{i1j81<7?tH50`?xh>k:0;6<uG43a8yk?d<3:1=vF;2b9~j<e2290:wE:=c:m=f0=83;pD9<l;|l:g2<728qC8?m4}o;`<?6=9rB?>n5rn8a:>5<6sA>9o6sa9bc94?7|@=8h7p`6cc83>4}O<;i0qc7lc;295~N3:j1vb4mk:182M25k2we5nk50;3xL14d3td2ok4?:0yK07e<ug3o<7>51zJ76f=zf0n:6=4>{I61g>{i1m81<7?tH50`?xh>l:0;6<uG43a8yk?c<3:1=vF;2b9~j<b2290:wE:=c:m=a0=83;pD9<l;|l:`2<728qC8?m4}o;g<?6=9rB?>n5rn8f:>5<6sA>9o6sa9ec94?7|@=8h7p`6dc83>4}O<;i0qc7kc;295~N3:j1vb4jk:182M25k2we5ik50;3xL14d3td2hk4?:0yK07e<ug3n<7>51zJ76f=zf0o:6=4>{I61g>{i1l81<7?tH50`?xh>m:0;6<uG43a8yk?b<3:1=vF;2b9~j<c2290:wE:=c:m=`0=83;pD9<l;|l:a2<728qC8?m4}o;f<?6=9rB?>n5rn8g:>5<6sA>9o6sa9dc94?7|@=8h7p`6ec83>4}O<;i0qc7jc;295~N3:j1vb4kk:182M25k2we5hk50;3xL14d3td2ik4?:0yK07e<ug3m<7>51zJ76f=zf0l:6=4>{I61g>{i1o81<7?tH50`?xh>n:0;6<uG43a8yk?a<3:1=vF;2b9~j<`2290:wE:=c:m=c0=83;pD9<l;|l:b2<728qC8?m4}o;e<?6=9rB?>n5rn8d:>5<6sA>9o6sa9gc94?7|@=8h7p`6fc83>4}O<;i0qc7ic;295~N3:j1vb4hk:182M25k2we5kk50;3xL14d3td2jk4?:0yK07e<ugk;<7>51zJ76f=zfh::6=4>{I61g>{ii981<7?tH50`?xhf8:0;6<uG43a8ykg7<3:1=vF;2b9~jd62290:wE:=c:me50=83;pD9<l;|lb42<728qC8?m4}oc3<?6=9rB?>n5rn`2:>5<6sA>9o6saa1c94?7|@=8h7p`n0c83>4}O<;i0qco?c;295~N3:j1vbl>k:182M25k2wem=k50;3xL14d3tdj<k4?:0yK07e<ugk:<7>51zJ76f=zfh;:6=4>{I61g>{ii881<7?tH50`?xhf9:0;6<uG43a8ykg6<3:1=vF;2b9~jd72290:wE:=c:me40=83;pD9<l;|~yEFDsj:o6nl;bg::7xFGJr:vLM^t}AB
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
Selecting top level module DSP48A1
@N: CG364 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4561:7:4561:13|Synthesizing module DSP48A1
@W: CG532 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4689:4:4689:10|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4730:12:4730:19|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4731:12:4731:18|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4741:26:4741:33|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4742:26:4742:32|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4886:19:4886:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4887:19:4887:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4924:19:4924:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4925:19:4925:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4965:19:4965:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4966:19:4966:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5008:19:5008:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5009:19:5009:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5048:19:5048:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5049:19:5049:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5088:19:5088:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5089:19:5089:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5105:19:5105:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5106:19:5106:25|System task $finish is not supported yet
@W: CG296 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5114:13:5114:87|Incomplete sensitivity list - assuming completeness
@W: CG290 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5118:12:5118:19|Referenced variable add_flag is not in sensitivity list
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5160:19:5160:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5161:19:5161:25|System task $finish is not supported yet
@W: CG133 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4650:18:4650:24|No assignment to b_o_mux
@W: CG133 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4667:8:4667:21|No assignment to invalid_opmode
@W: CG360 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4673:22:4673:28|No assignment to wire bcin_in
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5071:4:5071:9|Pruning Register qopmode_o_reg1[7:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5029:4:5029:9|Pruning Register qcarryin_o_reg1
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":5029:4:5029:9|Pruning Register qcarryout_o_reg1
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4949:4:4949:9|Pruning Register qmult_o_reg1[35:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4908:4:4908:9|Pruning Register qd_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4870:4:4870:9|Pruning Register qc_o_reg1[47:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4807:4:4807:9|Pruning Register qb_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4807:4:4807:9|Pruning Register qb_o_reg2[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4766:4:4766:9|Pruning Register qa_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4766:4:4766:9|Pruning Register qa_o_reg2[17:0]
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4589:10:4589:16|Input CARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4590:10:4590:12|Input CEA is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4591:10:4591:12|Input CEB is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4592:10:4592:12|Input CEC is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4593:10:4593:18|Input CECARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4594:10:4594:12|Input CED is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4595:10:4595:12|Input CEM is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4596:10:4596:17|Input CEOPMODE is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4602:10:4602:13|Input RSTA is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4603:10:4603:13|Input RSTB is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4604:10:4604:13|Input RSTC is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4605:10:4605:19|Input RSTCARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4606:10:4606:13|Input RSTD is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4607:10:4607:13|Input RSTM is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syntmp/multadd.v":4608:10:4608:18|Input RSTOPMODE is unused
Synopsys Xilinx Technology Mapper, Version map510rc, Build 068R, Built Feb 22 2010 15:14:03
Copyright (C) 1994-2010, Synopsys Inc. All Rights Reserved
Product Version D-2010.03
Reading constraint file: /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/tdc_syn_constraints.sdc
Adding property syn_input_delay1, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=tdc_clk125:r" to view:work.top_tdc(rtl)
Adding property syn_output_delay2, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=tdc_clk125:r" to view:work.top_tdc(rtl)
Adding property syn_useioff, value 1 to view:work.top_tdc(rtl)
Adding property syn_noarrayports, value 1 to view:work.top_tdc(rtl)
Adding property syn_netlist_hierarchy, value 0 to view:work.top_tdc(rtl)
@N: MF249 |Running in 64-bit mode.
@N: MF257 |Gated clock conversion enabled
Adding property syn_pad_type, value "LVCMOS_25", to instance spec_led_green_o
Adding property syn_pad_type, value "LVCMOS_25", to instance pll_cs_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux4_o
Adding property syn_pad_type, value "LVCMOS_25", to instance pll_sdi_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux3_o
Adding property syn_pad_type, value "LVCMOS_25", to instance pll_sclk_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux2_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig1_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig2_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig3_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig4_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig5_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_1_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_2_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_3_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_4_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_5_o
Adding property syn_pad_type, value "LVCMOS_25", to instance cs_n_o
Adding property syn_pad_type, value "LVCMOS_25", to instance irq_p_o
Adding property syn_pad_type, value "SSTL_18_Class_II", to instance l2p_clk_n_o
Adding property syn_pad_type, value "SSTL_18_Class_II", to instance l2p_clk_p_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to instance l2p_dframe_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to instance l2p_edb_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to instance l2p_valid_o
Adding property syn_pad_type, value "LVCMOS_25", to instance oe_n_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to instance p2l_rdy_o
Adding property syn_pad_type, value "LVCMOS_25", to instance rd_n_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to instance rx_error_o
Adding property syn_pad_type, value "LVCMOS_25", to instance spare_o
Adding property syn_pad_type, value "LVCMOS_25", to instance start_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to instance start_from_fpga_o
Adding property syn_pad_type, value "LVCMOS_25", to instance stop_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to instance wr_n_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux5_o
Adding property syn_loc, value "N20", to port rst_n_a_i
Adding property syn_pad_type, value "LVCMOS18", to port rst_n_a_i
Adding property syn_loc, value "M20", to port p2l_clk_p_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port p2l_clk_p_i
Adding property syn_loc, value "M19", to port p2l_clk_n_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port p2l_clk_n_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_data_i[15:0]
Adding property syn_loc, value "J22", to port p2l_dframe_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_dframe_i
Adding property syn_loc, value "L19", to port p2l_valid_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_valid_i
Adding property syn_loc, value "J16", to port p2l_rdy_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_rdy_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p_wr_req_i[1:0]
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p_wr_rdy_o[1:0]
Adding property syn_loc, value "J17", to port rx_error_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port rx_error_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port vc_rdy_i[1:0]
Adding property syn_loc, value "K21", to port l2p_clk_p_o
Adding property syn_pad_type, value "SSTL_18_Class_II", to port l2p_clk_p_o
Adding property syn_loc, value "K22", to port l2p_clk_n_o
Adding property syn_pad_type, value "SSTL_18_Class_II", to port l2p_clk_n_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_data_o[15:0]
Adding property syn_loc, value "U22", to port l2p_dframe_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_dframe_o
Adding property syn_loc, value "T18", to port l2p_valid_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_valid_o
Adding property syn_loc, value "U20", to port l2p_edb_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_edb_o
Adding property syn_loc, value "U19", to port l2p_rdy_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_rdy_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l_wr_rdy_i[1:0]
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p_rd_d_rdy_i[1:0]
Adding property syn_loc, value "M17", to port tx_error_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port tx_error_i
Adding property syn_loc, value "U16", to port irq_p_o
Adding property syn_pad_type, value "LVCMOS_25", to port irq_p_o
Adding property syn_loc, value "AB19", to port spare_o
Adding property syn_pad_type, value "LVCMOS_25", to port spare_o
Adding property syn_loc, value "E16", to port acam_refclk_i
Adding property syn_pad_type, value "LVCMOS_25", to port acam_refclk_i
Adding property syn_input_delay8, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_ld_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_ld_i
Adding property syn_loc, value "C18", to port pll_ld_i
Adding property syn_input_delay9, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_refmon_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_refmon_i
Adding property syn_loc, value "D17", to port pll_refmon_i
Adding property syn_input_delay10, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_sdo_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_sdo_i
Adding property syn_loc, value "AB18", to port pll_sdo_i
Adding property syn_input_delay11, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_status_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_status_i
Adding property syn_loc, value "Y18", to port pll_status_i
Adding property syn_loc, value "L20", to port tdc_clk_p_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port tdc_clk_p_i
Adding property syn_loc, value "L22", to port tdc_clk_n_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port tdc_clk_n_i
Adding property syn_output_delay6, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_cs_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_cs_o
Adding property syn_loc, value "Y17", to port pll_cs_o
Adding property syn_loc, value "AB16", to port pll_dac_sync_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_dac_sync_o
Adding property syn_output_delay5, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_sdi_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_sdi_o
Adding property syn_loc, value "AA18", to port pll_sdi_o
Adding property syn_output_delay7, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_sclk_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_sclk_o
Adding property syn_loc, value "AB17", to port pll_sclk_o
Adding property syn_loc, value "V11", to port err_flag_i
Adding property syn_pad_type, value "LVCMOS_25", to port err_flag_i
Adding property syn_loc, value "W11", to port int_flag_i
Adding property syn_pad_type, value "LVCMOS_25", to port int_flag_i
Adding property syn_loc, value "T15", to port start_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to port start_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to port start_from_fpga_o
Adding property syn_loc, value "U15", to port stop_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to port stop_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to port data_bus_io[27:0]
Adding property syn_loc, value "W12", to port ef1_i
Adding property syn_pad_type, value "LVCMOS_25", to port ef1_i
Adding property syn_loc, value "R11", to port ef2_i
Adding property syn_pad_type, value "LVCMOS_25", to port ef2_i
Adding property syn_loc, value "Y12", to port lf1_i
Adding property syn_pad_type, value "LVCMOS_25", to port lf1_i
Adding property syn_loc, value "T11", to port lf2_i
Adding property syn_pad_type, value "LVCMOS_25", to port lf2_i
Adding property syn_pad_type, value "LVCMOS_25", to port address_o[3:0]
Adding property syn_loc, value "T14", to port cs_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port cs_n_o
Adding property syn_loc, value "V13", to port oe_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port oe_n_o
Adding property syn_loc, value "AB13", to port rd_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port rd_n_o
Adding property syn_loc, value "Y13", to port wr_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port wr_n_o
Adding property syn_loc, value "C19", to port mute_inputs_o
Adding property syn_pad_type, value "LVCMOS_25", to port mute_inputs_o
Adding property syn_loc, value "W13", to port tdc_led_status_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_status_o
Adding property syn_loc, value "W14", to port tdc_led_trig1_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig1_o
Adding property syn_loc, value "Y14", to port tdc_led_trig2_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig2_o
Adding property syn_loc, value "Y16", to port tdc_led_trig3_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig3_o
Adding property syn_loc, value "W15", to port tdc_led_trig4_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig4_o
Adding property syn_loc, value "V17", to port tdc_led_trig5_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig5_o
Adding property syn_loc, value "W18", to port term_en_1_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_1_o
Adding property syn_loc, value "B20", to port term_en_2_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_2_o
Adding property syn_loc, value "A20", to port term_en_3_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_3_o
Adding property syn_loc, value "H10", to port term_en_4_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_4_o
Adding property syn_loc, value "E6", to port term_en_5_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_5_o
Adding property syn_loc, value "C22", to port spec_aux0_i
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux0_i
Adding property syn_loc, value "D21", to port spec_aux1_i
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux1_i
Adding property syn_loc, value "G19", to port spec_aux2_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux2_o
Adding property syn_loc, value "F20", to port spec_aux3_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux3_o
Adding property syn_loc, value "F18", to port spec_aux4_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux4_o
Adding property syn_loc, value "C20", to port spec_aux5_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux5_o
Adding property syn_output_delay3, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port spec_led_green_o
Adding property syn_pad_type, value "LVCMOS_25", to port spec_led_green_o
Adding property syn_loc, value "E5", to port spec_led_green_o
Adding property syn_output_delay4, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port spec_led_red_o
Adding property syn_pad_type, value "LVCMOS_25", to port spec_led_red_o
Adding property syn_loc, value "D5", to port spec_led_red_o
Adding property syn_loc, value "H12", to port spec_clk_i
Adding property syn_pad_type, value "LVCMOS_25", to port spec_clk_i
Adding property syn_keep, value "true", to net spec_clk
Reading Xilinx I/O pad type table from file </afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/x_io_tbl.txt>
Reading Xilinx Rocket I/O parameter type table from file </afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/gttype.txt>
@N|Running in logic synthesis mode without enhanced optimization
@N: MF284 |Setting synthesis effort to very_low for the design
@N: BN225 |Writing default property annotation file /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/syn_tdc.sap.
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Fri Jul 15 19:30:53 2011
###########################################################]
@P: Worst Slack : -2.997
@P: spec_clk20 - Estimated Frequency : 104.2 MHz
@P: spec_clk20 - Requested Frequency : 20.0 MHz
@P: spec_clk20 - Estimated Period : 9.592
@P: spec_clk20 - Requested Period : 50.000
@P: spec_clk20 - Slack : 40.408
@P: tdc_clk125 - Estimated Frequency : 90.9 MHz
@P: tdc_clk125 - Requested Frequency : 125.0 MHz
@P: tdc_clk125 - Estimated Period : 10.997
@P: tdc_clk125 - Requested Period : 8.000
@P: tdc_clk125 - Slack : -2.997
@P: top_tdc|spec_clk_i - Estimated Frequency : 167.5 MHz
@P: top_tdc|spec_clk_i - Requested Frequency : 200.0 MHz
@P: top_tdc|spec_clk_i - Estimated Period : 5.971
@P: top_tdc|spec_clk_i - Requested Period : 5.000
@P: top_tdc|spec_clk_i - Slack : -0.971
@P: top_tdc Part : xc6slx45tfgg484-2
@P: top_tdc I/O primitives : 66
@P: top_tdc I/O Register bits : 6
@P: top_tdc Register bits (Non I/O) : 86 (0%)
@P: top_tdc Block Rams : 1 of 116 (0%)
@P: top_tdc Total Luts : 178 (0%)
@P: CPU Time : 0h:00m:03s
<table border="0" cellpadding="0" cellspacing="2">
<tr>
<td nowrap class="content" valign="top">
<body bgcolor="#e0e0ff">
<font size=3><b>Log File Links:</b><br></font>
<br><b>test_tdc_pll</b><br>
<dt><a href="/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/rpt_top_tdc.areasrr:@XP_FILE" target="srrFrame">Hierarchical Area Report (/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_pll/rpt_top_tdc)</a> (19:30 15-Jul)</dt><br>
<br><br><a href="/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/stdout.log:@XP_FILE" target="srrFrame">Session Log</a><br>
This source diff could not be displayed because it is too large. You can view the blob instead.
<table border="0" cellpadding="0" cellspacing="2">
<tr>
<td nowrap class="content" valign="top">
<body bgcolor="#e0e0ff">
<dl>
<font size=3><b>test_tdc_pll (syn_tdc)</b><br></font>
<b><a href="syn_tdc_srr.htm#compilerReport512" target="srrFrame">Compiler Report</a></b><br>
<b><a href="syn_tdc_srr.htm#mapperReport513" target="srrFrame">Mapper Report</a></b><br>
<b><a href="syn_tdc_srr.htm#timingReport514" target="srrFrame">Timing Report</a></b><br>
<a href="syn_tdc_srr.htm#performanceSummary515" target="srrFrame">Performance Summary</a><br>
<a href="syn_tdc_srr.htm#clockRelationships516" target="srrFrame">Clock Relationships</a><br>
<a href="syn_tdc_srr.htm#interfaceInfo517" target="srrFrame">Interface Information</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#inputPorts518" target="srrFrame">Input Ports</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#outputPorts519" target="srrFrame">Output Ports</a><br>
<a href="syn_tdc_srr.htm#clockReport520" target="srrFrame">Detailed Report for Clock: spec_clk20</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack521" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack522" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths523" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport524" target="srrFrame">Detailed Report for Clock: tdc_clk125</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack525" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack526" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths527" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport528" target="srrFrame">Detailed Report for Clock: top_tdc|spec_clk_i</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack529" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack530" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths531" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport532" target="srrFrame">Detailed Report for Clock: System</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack533" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack534" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths535" target="srrFrame">Worst Path Information</a><br>
<b><a href="syn_tdc_srr.htm#resourceUsage536" target="srrFrame">Resource Utilization</a></b><br>
......@@ -4,7 +4,7 @@ Loading device for application Rf_Device from file '6slx45t.nph' in environment
/afs/cern.ch/project/parc/elec/xilinx131/ISE_DS/ISE/.
"top_tdc" is an NCD, version 3.2, device xc6slx45t, package fgg484, speed -2
Fri Jul 15 19:32:12 2011
Mon Jul 18 09:45:10 2011
/afs/cern.ch/project/parc/elec/xilinx131/ISE_DS/ISE/bin/lin64/unwrapped/bitgen par_tdc.ncd tdc
......
Release 13.1 Drc O.40d (lin64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Fri Jul 15 19:32:12 2011
Mon Jul 18 09:45:10 2011
drc -z par_tdc.ncd
......
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Map" timeStamp="Fri Jul 15 19:31:39 2011">
<application stringID="Map" timeStamp="Mon Jul 18 09:44:36 2011">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -74,10 +74,10 @@
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
</item>
<item AVAILABLE="27288" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="177">
<item AVAILABLE="27288" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="193">
<item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="30"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="108"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="38"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="122"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="40"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
......@@ -120,9 +120,9 @@
<item dataType="int" stringID="MAP_NUM_ERRORS" value="0"/>
<item dataType="int" stringID="MAP_FILTERED_WARNINGS" value="0"/>
<item dataType="int" stringID="MAP_NUM_WARNINGS" value="69"/>
<item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="620916"/>
<item stringID="MAP_TOTAL_REAL_TIME" value="17 secs "/>
<item stringID="MAP_TOTAL_CPU_TIME" value="16 secs "/>
<item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="621108"/>
<item stringID="MAP_TOTAL_REAL_TIME" value="18 secs "/>
<item stringID="MAP_TOTAL_CPU_TIME" value="17 secs "/>
</section>
<section stringID="MAP_SLICE_REPORTING">
<item AVAILABLE="54576" dataType="int" label="Number of Slice Registers" stringID="MAP_SLICE_REGISTERS" value="86">
......@@ -131,10 +131,10 @@
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
</item>
<item AVAILABLE="27288" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="177">
<item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="30"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="108"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="38"/>
<item AVAILABLE="27288" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="193">
<item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="31"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="121"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="40"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
......@@ -154,13 +154,13 @@
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="1"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
</item>
<item AVAILABLE="6822" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="63">
<item AVAILABLE="6822" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="80">
<item AVAILABLE="1809" dataType="int" stringID="MAP_NUM_SLICEL" value="19"/>
<item AVAILABLE="1602" dataType="int" stringID="MAP_NUM_SLICEM" value="0"/>
<item AVAILABLE="3411" dataType="int" stringID="MAP_NUM_SLICEX" value="44"/>
<item AVAILABLE="3411" dataType="int" stringID="MAP_NUM_SLICEX" value="61"/>
</item>
<item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="MAP_OCCUPIED_LUT_AND_FF" value="179">
<item dataType="int" stringID="MAP_OCCUPIED_LUT_ONLY" value="93"/>
<item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="MAP_OCCUPIED_LUT_AND_FF" value="195">
<item dataType="int" stringID="MAP_OCCUPIED_LUT_ONLY" value="109"/>
<item dataType="int" label="Number with an unused LUT" stringID="MAP_OCCUPIED_FF_ONLY" value="2"/>
<item dataType="int" label="Number of fully used LUT-FF pairs" stringID="MAP_OCCUPIED_FF_AND_LUT" value="84"/>
</item>
......@@ -905,7 +905,6 @@
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS18"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="Reg&#xA;(s)" stringID="REGS" value="OFF"/>
</row>
<row stringID="row" value="110">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="spec_aux3_o"/>
......@@ -922,6 +921,7 @@
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS18"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="Reg&#xA;(s)" stringID="REGS" value="OFF"/>
</row>
<row stringID="row" value="112">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="spec_aux5_o"/>
......@@ -1131,7 +1131,7 @@
<node stringID="MAP_CLOCK_SIGNAL" value="clk">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="11"/>
<item dataType="int" label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT" value="35"/>
<node stringID="MAP_RESET_SIGNAL" value="N_51_i">
<node stringID="MAP_RESET_SIGNAL" value="N_39_i">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="8"/>
<item dataType="int" label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT" value="32"/>
</node>
......@@ -1139,19 +1139,19 @@
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="2"/>
<item dataType="int" label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT" value="2"/>
</node>
<node stringID="MAP_RESET_SIGNAL" value="pll_sclk_i">
<node stringID="MAP_RESET_SIGNAL" value="pll_sclk_o_c_i">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="1"/>
<item dataType="int" label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT" value="1"/>
</node>
</node>
<node stringID="MAP_CLOCK_SIGNAL" value="spec_clk">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="20"/>
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="36"/>
<item dataType="int" label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT" value="51"/>
<node stringID="MAP_RESET_SIGNAL" value="N_132_i_iso">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="15"/>
<node stringID="MAP_RESET_SIGNAL" value="N_188_i_iso">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="27"/>
<item dataType="int" label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT" value="40"/>
<node stringID="MAP_ENABLE_SIGNAL" value="N_10_i">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="9"/>
<node stringID="MAP_ENABLE_SIGNAL" value="N_18_i">
<item dataType="int" label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT" value="20"/>
<item dataType="int" label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT" value="32"/>
</node>
</node>
......@@ -1174,9 +1174,9 @@
<property label="PLL_ADV" stringID="MAP_PLL_ADV"/>
<node label="Module Name" stringID="MAP_MODULE" value="top_tdc">
<item label="Partition" stringID="MAP_PARTITION" value=""/>
<item ACCUMULATED="63" dataType="int" label="Slices" stringID="MAP_SLICES" value="63"/>
<item ACCUMULATED="80" dataType="int" label="Slices" stringID="MAP_SLICES" value="80"/>
<item ACCUMULATED="86" dataType="int" label="Slice Reg" stringID="MAP_SLICE_REG" value="86"/>
<item ACCUMULATED="146" dataType="int" label="LUTs" stringID="MAP_LUTS" value="146"/>
<item ACCUMULATED="162" dataType="int" label="LUTs" stringID="MAP_LUTS" value="162"/>
<item ACCUMULATED="0" dataType="int" label="LUTRAM" stringID="MAP_LUTRAM" value="0"/>
<item ACCUMULATED="1" dataType="int" label="BRAM/FIFO" stringID="MAP_BRAM_FIFO" value="1"/>
<item ACCUMULATED="1" dataType="int" label="DSP48A1" stringID="MAP_DSP48A1" value="1"/>
......
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="par" timeStamp="Fri Jul 15 19:31:46 2011">
<application stringID="par" timeStamp="Mon Jul 18 09:44:43 2011">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -71,8 +71,8 @@
<item stringID="PAR_REAL_TIME_COMPLETION_ROUTER" value="20 secs "/>
<item stringID="PAR_CPU_TIME_COMPLETION_ROUTER" value="20 secs "/>
<item dataType="int" stringID="PAR_UNROUTES" value="0"/>
<item dataType="float" stringID="PAR_TIMING_SCORE" value="17366.000000"/>
<item stringID="PAR_REAL_TIME_COMPLETION_PAR" value="22 secs "/>
<item dataType="float" stringID="PAR_TIMING_SCORE" value="19904.000000"/>
<item stringID="PAR_REAL_TIME_COMPLETION_PAR" value="21 secs "/>
<item stringID="PAR_CPU_TIME_COMPLETION_PAR" value="21 secs "/>
</section>
</task>
......@@ -92,8 +92,8 @@
<item label="Routed" stringID="ROUTED" value="ROUTED"/>
<item label="Resource" stringID="RESOURCE" value="BUFGMUX_X2Y3"/>
<item label="Locked" stringID="LOCKED" value="No"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="26.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.659000"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="42.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.669000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="2.387000"/>
</row>
<row stringID="row" value="2">
......@@ -102,7 +102,7 @@
<item label="Resource" stringID="RESOURCE" value="BUFGMUX_X3Y7"/>
<item label="Locked" stringID="LOCKED" value="No"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="13.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.667000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.659000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="2.382000"/>
</row>
</table>
......@@ -1349,7 +1349,7 @@
<item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
<item label="Drive&#xA;(mA)" stringID="Drive" value="12"/>
<item label="Constraint" stringID="Constraint" value="LOCATED"/>
<item label="IO&#xA;Register" stringID="IO_Register" value="NO"/>
<item label="IO&#xA;Register" stringID="IO_Register" value="YES"/>
<item label="Signal&#xA;Integrity" stringID="Signal_Integrity" value="NONE"/>
</row>
<row stringID="row" value="173">
......@@ -1520,7 +1520,7 @@
<item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
<item label="Drive&#xA;(mA)" stringID="Drive" value="12"/>
<item label="Constraint" stringID="Constraint" value="LOCATED"/>
<item label="IO&#xA;Register" stringID="IO_Register" value="YES"/>
<item label="IO&#xA;Register" stringID="IO_Register" value="NO"/>
<item label="Signal&#xA;Integrity" stringID="Signal_Integrity" value="NONE"/>
</row>
<row stringID="row" value="196">
......@@ -3900,7 +3900,7 @@
</task>
</application>
<application stringID="Par" timeStamp="Fri Jul 15 19:31:46 2011">
<application stringID="Par" timeStamp="Mon Jul 18 09:44:44 2011">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -3964,10 +3964,10 @@
<item dataType="int" stringID="PAR_NUM_SLICE_LATCHTHRU" value="0"/>
<item dataType="int" stringID="PAR_NUM_SLICE_LATCHLOGIC" value="0"/>
</item>
<item AVAILABLE="27288" dataType="int" label="Number of Slice LUTS" stringID="PAR_SLICE_LUTS" value="177">
<item dataType="int" stringID="PAR_NUM_LOGIC_O5ONLY" value="30"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O6ONLY" value="108"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O5ANDO6" value="38"/>
<item AVAILABLE="27288" dataType="int" label="Number of Slice LUTS" stringID="PAR_SLICE_LUTS" value="193">
<item dataType="int" stringID="PAR_NUM_LOGIC_O5ONLY" value="31"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O6ONLY" value="121"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O5ANDO6" value="40"/>
<item dataType="int" stringID="PAR_NUM_ROM_O5ONLY" value="0"/>
<item dataType="int" stringID="PAR_NUM_ROM_O6ONLY" value="0"/>
<item dataType="int" stringID="PAR_NUM_ROM_O5ANDO6" value="0"/>
......@@ -3987,13 +3987,13 @@
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_CARRY4" value="1"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
</item>
<item AVAILABLE="6822" dataType="int" stringID="PAR_OCCUPIED_SLICES" value="63">
<item AVAILABLE="6822" dataType="int" stringID="PAR_OCCUPIED_SLICES" value="80">
<item AVAILABLE="1809" dataType="int" stringID="PAR_NUM_SLICEL" value="19"/>
<item AVAILABLE="1602" dataType="int" stringID="PAR_NUM_SLICEM" value="0"/>
<item AVAILABLE="3411" dataType="int" stringID="PAR_NUM_SLICEX" value="44"/>
<item AVAILABLE="3411" dataType="int" stringID="PAR_NUM_SLICEX" value="61"/>
</item>
<item dataType="int" stringID="PAR_OCCUPIED_LUT_AND_FF" value="179">
<item dataType="int" stringID="PAR_OCCUPIED_LUT_ONLY" value="93"/>
<item dataType="int" stringID="PAR_OCCUPIED_LUT_AND_FF" value="195">
<item dataType="int" stringID="PAR_OCCUPIED_LUT_ONLY" value="109"/>
<item dataType="int" stringID="PAR_OCCUPIED_FF_ONLY" value="2"/>
<item dataType="int" stringID="PAR_OCCUPIED_FF_AND_LUT" value="84"/>
</item>
......
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$44640<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1:BA4=6;2;%<<:4158JJUSS2HNO^L2>3;2=51=6<3CE\XZ5AEFQF974294:7?64220166479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=8720?>=<03235>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>;7082<2<>03===59984:23?>>?3=548=;7C7?3GFI11=MLONA@C24>0FIHKJMLONA@CBED`<>zfs88zigf6;0(553>L:75:483620>>3:8;0595601;1?<0?30<=5;766028=30>>03=:479974:5`=>2@D[YY4rne\ahvsqV~c~h}g<983:73<13CE\XZ5psmd[`kw|pUdk|h^cpw`ts410;2?;49;KMTPR=x{elShctx]wlwct`Vdnklzj<983:4b<13CE\XZ5psmd[cskdV~c~h}g<983:72<13CE\XZ5psmd[cskdV~c~h}g_`qpawr;03:5>956:HLSQQ<wzfmTjxbc_ujqavnXflmjxh27:1<2<>?=G\^[YY4kauc\gjsi|521<3?m;88LQQVR\3xoSio{a^alqkr;03:5=o56:NWWTPR={UomyoPcnwmp9>=8720MLON6@CB55=FIHKJMLO9A@CBEDG?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6Jl;ECWEZQNL]BTMn5KAUC\SLBS@VH97IG9;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;1<L@K7=:08;EKB84>9?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1<L@K7>808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1716:FJF969?2NBN1??>69GMG:697=0HDL313<4?AOE4895;6JFB=37:2=CAK6:9394DH@?53803MCI0<917:FJF97?6>1OEO2>9?48@LD;97=0HDL321<4?AOE4;;5;6JFB=01:2=CAK69?394DH@?61803MCI0?;17:FJF9416>1OEO2=7?58@LD;:14<7IGM<3;=2>BNJ585;6JFB=13:<=CAK68=7>17:FJF9566?1OEO2<>79GMG:36?1OEO2:>79GMG:16?1OEO28>79GMG:?6?1OEO26>69GMUG;87=0HD^N<0<4?AOWI58556JFP@>0>5803MC[M1=17:FJTG:76>1OE]L31?58@LVE4;427IG_B=194;1<L@ZI0>09;EMB85803MEJ0<>17:FLE9766>1OCL2>2?58@JG;9:4<7IAN<06=3>BHI5;>2:5KO@>22;1<LFK7=:08;EMB84>9?2NDM1?6>79GKD:66>1OCL2=0?58@JG;:84<7IAN<30=3>BHI5882:5KO@>10;1<LFK7>808;EMB8709?2NDM1<8>69GKD:507=0HBO328<5?AIF4;4<7IAN<22==>BHI59:6=08;EMB8679>2NDM1=16:FLE929>2NDM1;16:FLE909>2NDM1916:FLE9>9>2NDM1717:FLEZTBO?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HBLPRDE4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>39F06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=1M>K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[<4LH48HJGCMM=0@BIFC@N7?ISS:=1GYY=;;MWW01=K]]??7A[[649NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?;;O3361=I999?7C??459M55333G;;:95A1157?K770=1E==7<;O320>H699>0B<?>4:L2572<F8;886@>1568J472<2D:=;:4N0340>H691>0B<?63:L261=I9;:?7C?=159M57433G;9?95A1367?K75==1E=?8;;O3131=I9;2?7C?=929M562<F89;86@>3068J455<2D:?>:4N0170>H6;190B<:;;O3746=I9<90B<8;;O35=6=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<;1E9?5A729M<45<F1887C6<3:L;06=I0<90B58<;O:47>H?0:1E44<4N818J<643G3:?6@6229M=65<F0>87C7:3:L:26=I1>90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB92[j7_OBB04AS@T13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO44?VTQIEUJ;6]]V@N\F1=TQGI?7Y\ZE59W]UC4l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR9VS_JPPOVQ_1[XPFXT84Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR?VS_JPPOVQ_3[XPFXT8:Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR<VS_JPPOVQ_2[XPFXT8;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR=VS_JPPOVQ_=[XPFXT88Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS8WT[_Q_NUPX6XY_G[U<SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW<UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^2ZW^XT\CZ][4_\\JTX<VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY4WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX2XYPZVZEX_U8]^ZLVZ4XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY4YZQUWYD_^V6R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q:2^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]65Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U?5Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q;8^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]73Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY3>Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U?9Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW=SPWS]SJQT\9TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T1\]TVZVI\[Q9QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS=WT[_Q_NUPX1XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP9PQXR^RMPW]1UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U9]^UQ[UHSZR=VSUA]_3]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ5^[RTXXG^YW5SPXNP\5Zi592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\cf:76;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^22b>S7'nxm"h gbz-gim'{nT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR8>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P17]l7d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=;Q`12;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8=Tc>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]?UVxnhxmj_ymq[41Xg89m7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3;5Z~h494:?45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\5=Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P183\|j:768927X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3:[j5a3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T8\]qaasdmVrd~R<?1^zl8586;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P21]l7`=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT><?Pxn>3:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS??Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;8:Sua30?61?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV89=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ=2^m0a>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9?<Qwo=2=7<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>>Q`299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^:15>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"h gbz-gim'~xT|cz}_ckm8=8582_;#j|i.sd,cf~)keas#z|Ppovq[goiW98;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ=219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^114>S7'nxm"h gbz-gim'~xT|cz}_ckm[1473\:$kh!rg-dg}(ddbr${Qnup\flhX=;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU=>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR9=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>1^m0<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U?Sb=6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ2Xg8937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^7\k6?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS8Q`12g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW?;Ttb2?>01;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV<Tc>k4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]?UVxnhxmj_ymq[27Xpf6;2<=7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ1Xg:o0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_93\|j:768937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^:\k6b<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4?Pxn>3:6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4Q`299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^:1a>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2;>00f?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)khxyuck{<1<1a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=3=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:668887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<266=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:11328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr36a=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l6`=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l576<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j63=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTe9>4U1-dvc(un&mg<#|k/fpkb+ton&GfyuQ}al]ueiocW`dSJ@K_6;\kZKRPV;9Sb?>279V4*aun'xm#jb?.sf,cwna&{bm#ob_wcomaYc9:30Y=!hrg,qb*ak8'xo#j|gf/pkb*tfeV|j`djPiov\CKBX?0UdS@[W_00\k4b<]9%l~k }f.eo4+tc'{kfSjPeo]j515<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[3?XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP68]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY11VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_7;\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS;7Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z0>WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]5=ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ99^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>3:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^az8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\g|:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Ze~4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xkp6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vir080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Tot29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rmv<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>;:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^nvp979;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[iss4;48<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xd|~7?3=?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[k67:<Ugyy2;>228Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rbzt=7=75=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUe<=<:_mww838482_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\hpr;?79;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_o2360Yk}}632>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vf~x171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYi898>Sua}<0<04>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pxnp?6;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSc>?24]{kw:46::0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Z~hz5>5?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]m4542Wqey080<0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Ttb|36?13?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWg:;>8Qwos>4:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^zlv9>9;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[}iu4048?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@m`mqcq[Zh78:8Tot2?>218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-Ngjkwi{UTb=><2^az8484;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xkp692>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYXf9:8>Rmv<2<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySR`?020\g|:36:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~x1>1359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww8484<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~7>3=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXWg:;??Qcuu>0:62<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQPn1206Zjr|5>5?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZYi8999Sa{{dh17?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTSc>?33]oqqbn9:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~xig=359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww`l54<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~oe9==;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXf9:;9Rmv<1<06>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]`}979;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&Ghc`~nr^l3453Xkp692><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Snw33?11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTb=>?5^az8184;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_o2340Yk}}6;2>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Sa{{<0<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]oqq:56:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]m4562We0>0<3:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/LaliuguWg:;<8Qcuu>7:64<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQa0126[issl`987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Anabp`p\j567=Vf~xig>329V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVd;<=;Pltvgm7543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(Ejef|l|Pn1231Zjr|mc8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZh789?T`xzki563?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,[ZY_DGGTSR>P_^O`khvfzVd;<=;Pltvgm17<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_0]\[HeheykySc>?04]oqqbn9=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[7YXWDida}o}_o2340Yk}}nb>9?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!P_^ZOJHYXW:UTS@m`mqcq[k678<Ugyyjf3538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-\[Z^KFDUTS9QP_LaliuguWg:;<8Qcuufj017<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_4]\[HeheykySR`?020\hprca=80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[3YXWDida}o}_^l3464Xd|~oe<:=;T2,cw`)zo%l`= xr.etev(`xo$|~}h _^][HKKXWV=TSRClolrbvZYi8999Sa{{dh076>S7'nxm"h gm2-sw)`hy%k}h!wsre+ZYXPEDFSRQ7_^]Ngjkwi{UTb=><2^nvpao4<;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&UTSUBAM^]\=ZYXEjef|l|P_o2377Yk}}nb8?;4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Pn12067`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>;:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;978<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$b=>=5=0=62=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*h78;?7?3<8;T2,cw`)zo%l`= xr.etev(`xo$|~}h n1211929:>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&d;<?;35?04?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,j565=5<5>:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"`?037?3;403\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(f9:99161269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo492_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[wusxf~856[?/fpe*w`(oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX0:UdS@[W_06\k475m2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"no}rxlfp969:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#mnrs{maq:66;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:78n7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6494986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:72<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?07?P6(o{l%~k!hl1,tv*apiz$|y} c0-u59599;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:090=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:44b3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw8585m2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"no}rxlfp979:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:56;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;878?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=0=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28686::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=6=576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6;;1^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;TKCJP82]l[HS_W8>Tc?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~=299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k2`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\575<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Zebxf~956[?/fpe*w`(oe:%{!}al]tvZciW`;Toh~`t^KMRZ6502_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idycz30?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}6:2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp949:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{<2<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~783<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjq:26;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at=4=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|d0:0=8:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkr;07>;7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4:4:=9=4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^7?3Qmde20a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~P4PQHNE];5ZiXe|rT>RAZT=7=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dW5SPGOF\<4YhWdsS?Q@UU>6:45b3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byU7]^EM@Z>6WfUfyuQ=_NWW8384n2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczT8\]DJAY?9VeTaxvP2^MVP9099:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{atZ:^[BHCW1;TcRczx^0\KPR;?79m7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4>4:?h5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw_=[XOGNT4<Q`_lw{[7YH]]6329<4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^743Q\W104?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U;>n5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[5Ycxf~9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\57e<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexR?Pdqmw62=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dS?<l;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqY5Wmzdx?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ55k2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczP3^fskq403\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQ;2b9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsW=Uo|bz=7:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrX=;i0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^7\`uis:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_704?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U<>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[=4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=2=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?31?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=1<12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm;7?3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc95>5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7;=78i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g5909:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3?3;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=:=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn:S<<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc9V89m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`4Y4:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3\07g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj>_40b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=R8=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl8U<>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7X0;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18585j2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3>2:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=<3<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~Th?2<>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9090=b:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;6>2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZb54?49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7:06;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18=85i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3]36d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi<P13c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9S?<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc:V99m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7Y3:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e0\17g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=_70b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo>R9=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;U3>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;878i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7979:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1?6;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk3=1=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=34?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?1;12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm97:3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;5=5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;078j7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7Z65i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd2]26d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=P23c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn8S><n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;V>9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`6Y2:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1\27g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj<_60b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?R688:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid;m7X> gsd-vc)jmd%ln` {mq]qehYulVoe>=5Z0.eqb+ta'dof#jlb.uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!]SU]EQIJXOLD946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`44?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk23:8Q5)`zo$yj"||tcnh+btt|m;%k}{_gwoh+tt|Vn8>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4949o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;978h7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56;h0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ65j2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\57d<]9%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^01g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi30?0`?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2>>3`8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR>=b:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb5:=1^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz>c:W3+bta&{l$~~zmlj-tvZtt|Vidao?k;T2,cw`)zo%yylck.uq[wusWjefn<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo6:?ZYXPEDFSRQ>0^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6?2<=m;^]\\IHJWVU:=RQPU1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ5Xlye8i5P_^ZOJHYXW88TSR[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]45Z~h494:8h5P_^ZOJHYXW89TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=9m4_^][HKKXWV;?SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT:4Q`_lw{[7YH]]9:?o5P_^ZOJHYXW8?TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\5Zbwg}8m7RQPXMLN[ZY6>VUTY=!hrg,qb*kbe&mia#zbp^pbiZtcWld:8n5P_^ZOJHYXW8=TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P53]l[}i;87;?o6QP_YNMIZYX91UTSX> gsd-vc)`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768>i7RQPXMLN[ZY61VUTY=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP<PQXR^RMPW]6UVRD^R6Po^zl8586<k1TSRVCNL]\[76XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT>RaPxn>3:42d3VUTTA@B_^]15ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV?;SbQwo=2=51e<WVUS@CCP_^01[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<20g=XWVRGB@QP_31\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX>VeTtb2?>06a?ZYXPEDFSRQ=4^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>c:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~j2lbjbQ<2y7267?<n`ldS><w500/bl`hWnoeio{os]u2Z4+FFDN CAAE2f`?coagV99t8?=,gkekZabflxjxb|Pv7]1(~eX`hyTeczPcmi>4)eX`hyT}{=1.`[wbXn{oz1<"l_dlbficXzz~Tt`l=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk964+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>5)eXlfSzgkti?06)eXezmdbRxnl<3/gZtcWmo{xe3:13.`[`tug{Ubbgklthmm[qwm4:'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;281&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:9%iT|ah_gwohZrozlycSl}|esv\rdj:=93'obcoogmpZhfel7mekaP33z657*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0:#c^ofijt~W}s{i0?#}378bl`hW:8s9<<Pfhdl[bcim{kcQy6^0\|vrX9;l0jdh`_fgmawgsg{U}:R<# Ykomk~'KFXN,Jkaescwkw&6:'8?7k{cle9jjocd|mhbxh|}d:kmn`es{kci|8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586i2zycjQiumn\pmtb{aUj~k}t=3=5d=wzfmTjxbc_ujqavnXizyn~y2=>0c8twi`Wog`Rzgrdqk[dutm{~7?3?n;qplcZ`rdeUdk|h^cpw`ts4=4:m6~}of]eqijX|axneQnsrgqp9399h1{~biPftno[qnumzbTm~}jru>5:4g<x{elSk{cl^vkv`uoWhyxiz37?3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[dutm{~743?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki1>11`9svjaXn|fgSyf}erj\j`af|l6:2<o4psmd[cskdV~c~h}g_ogdeqc;:7;j7}|`g^dvhiYs`{oxdR`jg`vf8686i2zycjQiumn\pmtb{aUeijo{e=6=5d=wzfmTjxbc_ujqavnXflmjxh2:>0c8twi`Wog`Rzgrdqk[kc`i}o7:3?n;qplcZ`rdeUdk|h^lfcdrb4>4:o6~}of]eqijX|axneQaefcwa9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf8=813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;97jPd`vb[firf}636=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su34?qkw'Vil#kgio^11|075&gmd56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpb2g?EF=<>1J7:51zQ55?2b?3<1=><kb6`96=6djrd?894>;o671?0<,=>969=l;|Q6b?2b?3<1=><kb6`96=6dj2Y::>4;f383>455lk=i6?6?cb9P1c<3n;0;6<==dc5a>7>7kl1o8h750;395~U193>n;785120gf2d=:1:hn6x[1d194?7=93;2nv]91;6f3?0=9:8on:l5292`f>"3:l0::95Y45196~s6>j0:7x?9d;28y!7c:38:7o:j9;291g<42<hpD9<l;[74>1}5n39;6<>5d;'5`?=<l30(9:>:5gg?j32>3:17d;;f;29?j36;3:17d;93;29?j2bm3:17b:j8;29?l3203:17d;=6;29 4b32<8m7c?k3;28?l35=3:1(<j;:40e?k7c;3;07d;=4;29 4b32<8m7c?k3;08?l35;3:1(<j;:40e?k7c;3907d;=2;29 4b32<8m7c?k3;68?l3593:1(<j;:40e?k7c;3?07d;=0;29 4b32<8m7c?k3;48?l36n3:1(<j;:40e?k7c;3=07d;>e;29 4b32<8m7c?k3;:8?l3413:1(<j;:461?k7c;3:07d;<8;29 4b32<>97c?k3;38?l34?3:1(<j;:461?k7c;3807d;<6;29 4b32<>97c?k3;18?l34=3:1(<j;:461?k7c;3>07d;<4;29 4b32<>97c?k3;78?l34;3:1(<j;:461?k7c;3<07d;<2;29 4b32<>97c?k3;58?l3493:1(<j;:461?k7c;3207d;:1;29?j2en3:1(<j;:5ff?k7c;3:07b:me;29 4b32=nn7c?k3;38?j2ek3:1(<j;:5ff?k7c;3807b:mb;29 4b32=nn7c?k3;18?j2ei3:1(<j;:5ff?k7c;3>07b:m9;29 4b32=nn7c?k3;78?j2e03:1(<j;:5ff?k7c;3<07b:m7;29 4b32=nn7c?k3;58?j2e>3:1(<j;:5ff?k7c;3207b:m5;29 4b32=nn7c?k3;;8?j2e<3:1(<j;:5ff?k7c;3k07b:m3;29 4b32=nn7c?k3;`8?j2e93:1(<j;:5ff?k7c;3i07b:m0;29 4b32=nn7c?k3;f8?j2fn3:1(<j;:5ff?k7c;3o07b:ne;29 4b32=nn7c?k3;d8?j2fl3:1(<j;:5ff?k7c;3;;76a;ab83>!7c<3>oi6`>d2825>=h<hh1<7*>d587``=i9m91=?54o5cb>5<#9m>18ik4n0f0>45<3f>j57>5$0f7>1bb3g;o?7?;;:m7e=<72-;o87:ke:l2`6<6=21d8n;50;&2`1<3ll1e=i=51798k1e3290/=i:54eg8j4b428=07b:l3;29 4b32=nn7c?k3;3;?>i3k;0;6)?k4;6ga>h6l:0:565`4b394?"6l=0?hh5a1e195d=<g=i;6=4+1e690ac<f8n86<l4;n6a`?6=,8n?69jj;o3g7?7d32e?n?4?:%3g0?2cm2d:h>4>d:9l0d1=83.:h94;dd9m5a5=9l10c9o9:18'5a2=<mo0b<j<:0d8?l20m3:1(<j;:5;g?k7c;3:07d:8d;29 4b32=3o7c?k3;38?l20j3:1(<j;:5;g?k7c;3807d:8a;29 4b32=3o7c?k3;18?l2013:1(<j;:5;g?k7c;3>07d:88;29 4b32=3o7c?k3;78?l20?3:1(<j;:5;g?k7c;3<07d:86;29 4b32=3o7c?k3;58?l20=3:1(<j;:5;g?k7c;3207d:84;29 4b32=3o7c?k3;;8?l20;3:1(<j;:5;g?k7c;3k07d:82;29 4b32=3o7c?k3;`8?l2083:1(<j;:5;g?k7c;3i07d:9f;29 4b32=3o7c?k3;f8?l21m3:1(<j;:5;g?k7c;3o07d:9d;29 4b32=3o7c?k3;d8?l21k3:1(<j;:5;g?k7c;3;;76g;6c83>!7c<3>2h6`>d2825>=n<?k1<7*>d587=a=i9m91=?54i54:>5<#9m>184j4n0f0>45<3`>=47>5$0f7>1?c3g;o?7?;;:k722<72-;o87:6d:l2`6<6=21b85:50;&2`1<31m1e=i=51798m1>4290/=i:548f8j4b428=07d:72;29 4b32=3o7c?k3;3;?>o3080;6)?k4;6:`>h6l:0:565f49294?"6l=0?5i5a1e195d=<a==m6=4+1e690<b<f8n86<l4;h64g?6=,8n?697k;o3g7?7d32c?;<4?:%3g0?2>l2d:h>4>d:9j030=83.:h94;9e9m5a5=9l10e98::18'5a2=<0n0b<j<:0d8?l33<3:17o:=d;295?6=8rB?>n5+1d;907b<g8n:6=44}c33`?6=93:1<vF;2b9'5`?=99n0c<>l:188yg31290jn7?;5;3:f~N3:j1Q9:4>6z0`>7d=:m08=7<j:3d975<683n1??4<3;f96g<5k38o6?k52g804?5628:1??4<3;'5`?=<li0(8o54dc8 36=<lh0(<ml:0f3?l37?3:1(<j;:42;?k7c;3:07d;?6;29 4b32<:37c?k3;38?l37=3:1(<j;:42;?k7c;3807d;?4;29 4b32<:37c?k3;18?l37;3:1(<j;:42;?k7c;3>07d;?2;29 4b32<:37c?k3;78?l3793:1(<j;:42;?k7c;3<07d;?0;29 4b32<:37c?k3;58?l2an3:1(<j;:42;?k7c;3207d:i0;29?l3283:17d;:3;29?j32>3:17d:id;29 4b32=ln7c?k3;28?l2ak3:1(<j;:5df?k7c;3;07d:ib;29 4b32=ln7c?k3;08?l2ai3:1(<j;:5df?k7c;3907d:i9;29 4b32=ln7c?k3;68?l2a03:1(<j;:5df?k7c;3?07d:i7;29 4b32=ln7c?k3;48?l2a>3:1(<j;:5df?k7c;3=07d:i5;29 4b32=ln7c?k3;:8?l33n3:17b:i3;29?l3693:1(<j;:431?k7c;3:07d;>0;29 4b32<;97c?k3;38?l37n3:1(<j;:431?k7c;3807d;?e;29 4b32<;97c?k3;18?l37l3:1(<j;:431?k7c;3>07d;?c;29 4b32<;97c?k3;78?l37j3:1(<j;:431?k7c;3<07d;?a;29 4b32<;97c?k3;58?l3713:1(<j;:431?k7c;3207b::6;29?j21:3:1(<j;:540?k7c;3:07b:91;29 4b32=<87c?k3;38?j2183:1(<j;:540?k7c;3807b::f;29 4b32=<87c?k3;18?j22m3:1(<j;:540?k7c;3>07b::d;29 4b32=<87c?k3;78?j22k3:1(<j;:540?k7c;3<07b::b;29 4b32=<87c?k3;58?j22i3:1(<j;:540?k7c;3207b;>3;29?l31;3:17d;>c;29 4b32<;o7c?k3;28?l36j3:1(<j;:43g?k7c;3;07d;>a;29 4b32<;o7c?k3;08?l3613:1(<j;:43g?k7c;3907d;>8;29 4b32<;o7c?k3;68?l36?3:1(<j;:43g?k7c;3?07d;>6;29 4b32<;o7c?k3;48?l36=3:1(<j;:43g?k7c;3=07d;>4;29 4b32<;o7c?k3;:8?j2bm3:17b:j8;29?l3203:17d::8;29?l35>3:1(<j;:40e?k7c;3:07d;=5;29 4b32<8m7c?k3;38?l35<3:1(<j;:40e?k7c;3807d;=3;29 4b32<8m7c?k3;18?l35:3:1(<j;:40e?k7c;3>07d;=1;29 4b32<8m7c?k3;78?l3583:1(<j;:40e?k7c;3<07d;>f;29 4b32<8m7c?k3;58?l36m3:1(<j;:40e?k7c;3207d;<9;29 4b32<>97c?k3;28?l3403:1(<j;:461?k7c;3;07d;<7;29 4b32<>97c?k3;08?l34>3:1(<j;:461?k7c;3907d;<5;29 4b32<>97c?k3;68?l34<3:1(<j;:461?k7c;3?07d;<3;29 4b32<>97c?k3;48?l34:3:1(<j;:461?k7c;3=07d;<1;29 4b32<>97c?k3;:8?j22=3:17d:i1;29?l2213:17d;94;29?j32:3:17b;:7;29?l3293:17b:mf;29 4b32=nn7c?k3;28?j2em3:1(<j;:5ff?k7c;3;07b:mc;29 4b32=nn7c?k3;08?j2ej3:1(<j;:5ff?k7c;3907b:ma;29 4b32=nn7c?k3;68?j2e13:1(<j;:5ff?k7c;3?07b:m8;29 4b32=nn7c?k3;48?j2e?3:1(<j;:5ff?k7c;3=07b:m6;29 4b32=nn7c?k3;:8?j2e=3:1(<j;:5ff?k7c;3307b:m4;29 4b32=nn7c?k3;c8?j2e;3:1(<j;:5ff?k7c;3h07b:m1;29 4b32=nn7c?k3;a8?j2e83:1(<j;:5ff?k7c;3n07b:nf;29 4b32=nn7c?k3;g8?j2fm3:1(<j;:5ff?k7c;3l07b:nd;29 4b32=nn7c?k3;33?>i3ij0;6)?k4;6ga>h6l:0:=65`4``94?"6l=0?hh5a1e1957=<g=kj6=4+1e690ac<f8n86<=4;n6b=?6=,8n?69jj;o3g7?7332e?m54?:%3g0?2cm2d:h>4>5:9l0f3=83.:h94;dd9m5a5=9?10c9m;:18'5a2=<mo0b<j<:058?j2d;3:1(<j;:5ff?k7c;3;376a;c383>!7c<3>oi6`>d282=>=h<j;1<7*>d587``=i9m91=l54o5a3>5<#9m>18ik4n0f0>4d<3f>ih7>5$0f7>1bb3g;o?7?l;:m7f7<72-;o87:ke:l2`6<6l21d8l950;&2`1<3ll1e=i=51d98k1g1290/=i:54eg8j4b428l07d:8e;29 4b32=3o7c?k3;28?l20l3:1(<j;:5;g?k7c;3;07d:8b;29 4b32=3o7c?k3;08?l20i3:1(<j;:5;g?k7c;3907d:89;29 4b32=3o7c?k3;68?l2003:1(<j;:5;g?k7c;3?07d:87;29 4b32=3o7c?k3;48?l20>3:1(<j;:5;g?k7c;3=07d:85;29 4b32=3o7c?k3;:8?l20<3:1(<j;:5;g?k7c;3307d:83;29 4b32=3o7c?k3;c8?l20:3:1(<j;:5;g?k7c;3h07d:80;29 4b32=3o7c?k3;a8?l21n3:1(<j;:5;g?k7c;3n07d:9e;29 4b32=3o7c?k3;g8?l21l3:1(<j;:5;g?k7c;3l07d:9c;29 4b32=3o7c?k3;33?>o3>k0;6)?k4;6:`>h6l:0:=65f47c94?"6l=0?5i5a1e1957=<a=<26=4+1e690<b<f8n86<=4;h65<?6=,8n?697k;o3g7?7332c?::4?:%3g0?2>l2d:h>4>5:9j0=2=83.:h94;9e9m5a5=9?10e96<:18'5a2=<0n0b<j<:058?l2?:3:1(<j;:5;g?k7c;3;376g;8083>!7c<3>2h6`>d282=>=n<1:1<7*>d587=a=i9m91=l54i55e>5<#9m>184j4n0f0>4d<3`><o7>5$0f7>1?c3g;o?7?l;:k734<72-;o87:6d:l2`6<6l21b8;850;&2`1<31m1e=i=51d98m102290/=i:548f8j4b428l07d::7;29?j33l3:1(<j;:46f?k7c;3:07b;;c;29 4b32<>n7c?k3;38?j33j3:1(<j;:46f?k7c;3807b;;a;29 4b32<>n7c?k3;18?j3313:1(<j;:46f?k7c;3>07b;;8;29 4b32<>n7c?k3;78?j33?3:1(<j;:46f?k7c;3<07b;;6;29 4b32<>n7c?k3;58?j33=3:1(<j;:46f?k7c;3207b;91;29 4b32<<97c?k3;28?j3183:1(<j;:441?k7c;3;07b;:f;29 4b32<<97c?k3;08?j32m3:1(<j;:441?k7c;3907b;:d;29 4b32<<97c?k3;68?j32k3:1(<j;:441?k7c;3?07b;:b;29 4b32<<97c?k3;48?j32i3:1(<j;:441?k7c;3=07b;:9;29 4b32<<97c?k3;:8?j2a<3:17d:jf;29?j21<3:17d;;4;29?j32=3:17o:<f;295?6=8r.:i44>0e9K06c<@=8h7b??c;29?xd3<90;6<4?:1y'5`?=<;n0D9=j;I61g>i6l80;66sm2d594?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg4b>3:187>50z&2a<<68h1C8>k4H50`?!76l3?0e8650;9j27<722c:h;4?::m2`2<722wi>h750;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb2`3>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd4io0;694?:1y'5`?=99k0D9=j;I61g>"69m0>7d;7:188m34=831b=i850;9l5a1=831vn9?=:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c616?6=;3:1<v*>e88242=O<:o0D9<l;I76?!71i3;n46*>1e82?l3?2900e;<50;9l5a1=831vn9<8:180>5<7s-;n57??7:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n>;0;66a>d683>>{e<8?1<7:50;2x 4c>28:j7E:<e:J76f=O=<1/=;o51d:8 47c2<1b954?::k56?6=3`;o:7>5;n3g3?6=3th?><4?:283>5}#9l31==94H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l052900c<j8:188yg25>3:1?7>50z&2a<<68>1C8>k4H50`?M323-;=m7?j8:&25a<63`?36=44i7094?=h9m=1<75rb537>5<3290;w)?j9;33e>N3;l1C8?m4$04b>4c?3A?>7)?>d;78m0>=831b:?4?::k2`3<722e:h:4?::a04d=83>1<7>t$0g:>46f3A>8i6F;2b9'54b==2c>47>5;h41>5<<a8n=6=44o0f4>5<<uk>:m7>54;294~"6m00:<l5G42g8L14d3-;:h7;4i4:94?=n>;0;66g>d783>>i6l>0;66sm40;94?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg2583:197>50z&2a<<68k1C8>k4H50`?M323-;=m7?j8:k6<?6=3`?26=44i7094?=n9m<1<75`1e594?=zj=;m6=4;:183!7b13;;m6F;3d9K07e<,8;o685f5983>>o1:3:17d?k6;29?j7c?3:17pl;1d83>1<729q/=h7511c8L15b3A>9o6*>1e86?l3?2900e;<50;9j5a0=831d=i950;9~f174290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;%32`?3<a<21<75f6383>>o6l?0;66a>d683>>{e;1n1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd40j0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg5?j3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f6>f290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a7=c=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`0e5<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c1:b?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb2;f>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm38f94?2=83:p(<k6:04a?M24m2B?>n5f5c83>>o1=3:17d?j0;29?j7dn3:17pl<a083>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo<l3;290?6=8r.:i44>6c9K06c<@=8h7d;m:188m33=831b=h>50;9l5f`=831vn?m=:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi>n?50;694?6|,8o26<8m;I60a>N3:j1b9o4?::k51?6=3`;n<7>5;n3`b?6=3th9o=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk8h87>54;294~"6m00::o5G42g8L14d3`?i6=44i7794?=n9l:1<75`1bd94?=zj;n=6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e:m?1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd5l=0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg4c;3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f7b0290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a775=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm33094?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi???50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;;:1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74`=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30g94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<j50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;8i1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74d=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30394?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<>50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9l1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75c=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31f94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?=m50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9h1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75g=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31;94?3=83:p(<k6:02a?M24m2B?>n5+10f91>o203:17d;6:188m34=831b=i850;9l5a1=831vn>:<:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?9650;194?6|,8o26<8:;I60a>N3:j1/=<j5279'5a3=;?<0e<>i:188m4772900c<mi:188yg53l3:1?7>50z&2a<<6><1C8>k4H50`?!76l330(<j::245?l77n3:17d?>0;29?j7dn3:17pl<4383>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=;7;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39=96g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;=i1<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d48020=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb262>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm35494?3=83:p(<k6:044?M24m2B?>n5+10f962=#9m?1?;:4i02e>5<<a8;;6=44i032>5<<a8;96=44o0ae>5<<uk9?n7>55;294~"6m00:::5G42g8L14d3-;:h7:4$0f6>6033`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`005<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c171?6==3:1<v*>e88222=O<:o0D9<l;%32`?403-;o97=93:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?9o50;794?6|,8o26<88;I60a>N3:j1/=<j54:&2`0<4>:1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f65a290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a712=83?1<7>t$0g:>4003A>8i6F;2b9'54b=:>1/=i;53708m46a2900e<??:188m4762900e<?=:188k4ea2900qo=;9;291?6=8r.:i44>669K06c<@=8h7)?>d;68 4b22:<97d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd4=;0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg52?3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7)?k5;155>o68o0;66g>1183>>i6ko0;66sm34a94?5=83:p(<k6:046?M24m2B?>n5+10f9=>"6l<08:<5f11d94?=n98:1<75`1bd94?=zj:?:6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e;<<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>:5+1e79736<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c16f?6==3:1<v*>e88222=O<:o0D9<l;%32`?2<,8n>6>8?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th89=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk9>97>55;294~"6m00:::5G42g8L14d3-;:h7<8;%3g1?52n2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a70g=83?1<7>t$0g:>4003A>8i6F;2b9'54b=<2.:h84<5g9j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>:i:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?8:50;794?6|,8o26<88;I60a>N3:j1/=<j5269'5a3=;<o0e<>i:188m4772900e<?>:188m4752900c<mi:188yg5213:197>50z&2a<<6>>1C8>k4H50`?!76l3>0(<j::27f?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<4d83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=:3;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39>h6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;<21<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d4801a=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb21f>5<4290;w)?j9;60`>N3;l1C8?m4i4`94?=n9?81<75`1bd94?=zj:9o6=4<:183!7b13>8h6F;3d9K07e<a<h1<75f17094?=h9jl1<75rb20`>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm32a94?5=83:p(<k6:51g?M24m2B?>n5f5c83>>o6>;0;66a>cg83>>{e;;h1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd4;k0;6>4?:1y'5`?=<:n0D9=j;I61g>o2j3:17d?92;29?j7dn3:17pl<2`83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=<a;297?6=8r.:i44;3e9K06c<@=8h7d;m:188m4052900c<mi:188yg5513:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f65>29086=4?{%3f=?24l2B??h5G43a8m0d=831b=;<50;9l5f`=831vn><7:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?>650;194?6|,8o269=k;I60a>N3:j1b9o4?::k227<722e:ok4?::a771=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`072<72:0;6=u+1d;906b<@=9n7E:=c:k6f?6=3`;=>7>5;n3`b?6=3th8>;4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk98:7>53;294~"6m00??i5G42g8L14d3`?i6=44i041>5<<g8im6=44}c111?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb207>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm36;94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f61?290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk9<;7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl<7783>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a723=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj:=?6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo=83;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`037<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e;>;1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn9>l:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:i6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f16f290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb52:>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>7:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:<6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f161290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb526>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>;:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:lj6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`>290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d;>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h8:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l=6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`2290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d7>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h<:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l96=4::183!7b13;;n6F;3d9K07e<,8;o685f5983>>o213:17d8=:188m4b12900c<j8:188yg5b03:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f3?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j6;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n97>55;294~"6m00:<45G42g8L14d3-;:h7?4i4:94?=n=00;66g:e;29?l052900c<j8:188yg5b<3:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f7?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j2;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n=7>55;294~"6m00:<o5G42g8L14d3-;:h7;4i4:94?=n=00;66g92;29?l7c>3:17b?k7;29?xd4m90;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`3<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<d483>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8h94?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4l;0;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`5<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<cd83>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8on4?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4kh0;684?:1y'5`?=99h0D9=j;I61g>"69m0>7d;7:188m0?=831b:?4?::k2`3<722e:h:4?::a7f>=83?1<7>t$0g:>46e3A>8i6F;2b9'54b==2c>47>5;h7:>5<<a?81<75f1e494?=h9m=1<75rb357>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg40;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9;?4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm26394?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f717290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8=j7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=6d83>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a63b=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;<h6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<>b;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`15d<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:831<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn??7:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c023?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd59?0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi><;50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb337>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg46;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9no4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm2cc94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7d>290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8i47>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=b683>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6g0=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;h>6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<m4;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1f6<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:?81<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?8>:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c054?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5=o0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>8k50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb37g>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg42k3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th99o4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm24c94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7??290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd51>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;3=6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9584?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?7;:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=9283>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb3;1>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1=4<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f7?7290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;o0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;9n6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9?i4?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?=l:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=3c83>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb31b>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`17<<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f75?290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;8o6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<=c;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`16g<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:;k1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?<6:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c01<?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5:>0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>?850;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb306>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg4?>3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9484?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm29694?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7>4290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk83>7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=8083>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6=6=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;=m6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<8e;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3`;;j7>5;h324?6=3f;hj7>5;|`1a=<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3-;o97:;c:k24c<722c:==4?::m2gc<722wi?o?50;194?6|,8o26<8:;I60a>N3:j1/=<j59:k24c<722c:==4?::m2gc<722wi8=h50;694?6|,8o26<89;I60a>N3:j1/=<j5589'5a3=<=i0e<>i:188m4772900e<?>:188k4ea2900qo<j4;291?6=8r.:i44>669K06c<@=8h7)?>d;331>o68o0;66g>1183>>o6980;66g>1383>>i6ko0;66sm39794?0=83:p(<k6:04;?M24m2B?>n5+10f954=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj=8?6=4<:183!7b13;=96F;3d9K07e<,8;o6<<4i02e>5<<a8;;6=44o0ae>5<<uk>9m7>53;294~"6m00::85G42g8L14d3-;:h7?=;h33b?6=3`;:<7>5;n3`b?6=3th85;4?:483>5}#9l31=;94H51f?M25k2.:=i4=7:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?4:50;794?6|,8o26<88;I60a>N3:j1/=<j5269j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>7=:186>5<7s-;n57?97:J77`=O<;i0(<?k:358m46a2900e<??:188m4762900e<?=:188k4ea2900qo=60;291?6=8r.:i44>669K06c<@=8h7)?>d;04?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<9983>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm3`;94?3=83:p(<k6:044?M24m2B?>n5+10f960=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb2c4>5<2290;w)?j9;353>N3;l1C8?m4$03g>73<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c1b1?6==3:1<v*>e88222=O<:o0D9<l;%32`?423`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`0e6<72<0;6=u+1d;9531<@=9n7E:=c:&25a<5=2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a7dd=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f7ed290>6=4?{%3f=?71?2B??h5G43a8 47c2;?0e<>i:188m4772900e<?>:188m4752900c<mi:188yg4di3:197>50z&2a<<6>>1C8>k4H50`?!76l38>7d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd5k10;684?:1y'5`?=9?=0D9=j;I61g>"69m0996g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:j<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>85f11d94?=n98:1<75f10394?=n9881<75`1bd94?=zj;in6=4<:183!7b13;=96F;3d9K07e<,8;o6?84i02e>5<<a8;;6=44o0ae>5<<uk8oj7>55;294~"6m00:::5G42g8L14d3-;:h7<;;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th9hi4?:483>5}#9l31=;94H51f?M25k2.:=i4=4:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>il50;794?6|,8o26<88;I60a>N3:j1/=<j5249j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn?j6:186>5<7s-;n57?97:J77`=O<;i0(<?k:368m46a2900e<??:188m4762900e<?=:188k4ea2900qo<j1;297?6=8r.:i44>649K06c<@=8h7)?>d;05?l77n3:17d?>0;29?j7dn3:17pl=fb83>1<729q/=h751748L15b3A>9o6*>1e816>o68o0;66g>1183>>o6980;66a>cg83>>{e;9<1<7950;2x 4c>28<27E:<e:J76f=#98n1?85f11d94?=n98:1<75f10394?=n9881<75f10194?=n98>1<75`1bd94?=zj::?6=49:183!7b13;=46F;3d9K07e<,8;o6>:4i02e>5<<a8;;6=44i032>5<<a8;96=44i030>5<<g8im6=44}c0f`?6=;3:1<v*>e88220=O<:o0D9<l;%32`?7>3-;o97:;a:k24c<722c:==4?::m2gc<722wi>hk50;694?6|,8o26<89;I60a>N3:j1/=<j51e9'5a3=<=k0e<>i:188m4772900e<?>:188k4ea2900qo=me;290?6=8r.:i44>679K06c<@=8h7)?>d;01?l77n3:17d?>0;29?l7693:17b?lf;29?xd4k<0;6:4?:1y'5`?=9?30D9=j;I61g>"69m0896g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>cg83>>{e;j91<7850;2x 4c>28<37E:<e:J76f=#98n1?95f11d94?=n98:1<75f10394?=n9881<75f10194?=h9jl1<75rb36b>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<a8:m6=44i033>5<<g8im6=44}c07g?6=<3:1<v*>e88223=O<:o0D9<l;%32`?4?3-;o97:;9:k24c<722c:==4?::k254<722e:ok4?::a6d>=8391<7>t$0g:>4023A>8i6F;2b9'54b=901b==h50;9j546=831d=nh50;9~f7gf290?6=4?{%3f=?71>2B??h5G43a8 47c2;20(<j::56;?l77n3:17d?>0;29?l7693:17b?lf;29?xd6n00;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d48715=n99l1<75f10294?=h9jl1<75rb0d;>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69;?;h33b?6=3`;:<7>5;n3`b?6=3th:j:4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3==1b==h50;9j546=831d=nh50;9~f4`129086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::577?l77n3:17d?>0;29?j7dn3:17pl>f483>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9>5f11d94?=n98:1<75`1bd94?=zj8l?6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1343`;;j7>5;h324?6=3f;hj7>5;|`2b6<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4g9j55`=831b=<>50;9l5f`=831vn<h=:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>m7d??f;29?l7683:17b?lf;29?xd6n80;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d4870`=n99l1<75f10294?=h9jl1<75rb0d3>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69:j;h33b?6=3`;:<7>5;n3`b?6=3th:ik4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3=;1b==h50;9j546=831d=nh50;9~f4cb29086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::571?l77n3:17d?>0;29?j7dn3:17pl>ee83>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9<5f11d94?=n98:1<75`1bd94?=zj8oh6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1363`;;j7>5;h324?6=3f;hj7>5;|`2ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4e9j55`=831b=<>50;9l5f`=831vn<kn:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>o7d??f;29?l7683:17b?lf;29?xd5<m0;684?:1y'5`?=9?=0D9=j;I61g>"69m0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:=l1<7850;2x 4c>28<37E:<e:J76f=#98n1>45+1e7901?<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o0ae>5<<uk8>=7>57;294~"6m00::45G42g8L14d3-;:h7?l;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th9mo4?:483>5}#9l31=;94H51f?M25k2.:=i4>b:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>lj50;494?6|,8o26<87;I60a>N3:j1/=<j5289'5a3=<=20e<>i:188m4772900e<?>:188m4752900e<?<:188k4ea2900qo<nf;293?6=8r.:i44>689K06c<@=8h7)?>d;3`?l77n3:17d?>0;29?l7693:17d?>2;29?l76;3:17d?>4;29?j7dn3:17pl<0983>6<729q/=h751778L15b3A>9o6*>1e817>o68o0;66g>1183>>i6ko0;66sm31594?1=83:p(<k6:04:?M24m2B?>n5+10f970=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb2a4>5<4290;w)?j9;351>N3;l1C8?m4$03g>75<a8:m6=44i033>5<<g8im6=44}c1`2?6=?3:1<v*>e8822<=O<:o0D9<l;%32`?523`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;hj7>5;|`11=<72>0;6=u+1d;953?<@=9n7E:=c:&25a<6k2c:<k4?::k255<722c:=<4?::k257<722c:=>4?::k251<722e:ok4?::a6d3=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=9j1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f734290<6=4?{%3f=?7112B??h5G43a8 47c28i0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg42>3:187>50z&2a<<6>?1C8>k4H50`?!76l3;j7d??f;29?l7683:17d?>1;29?j7dn3:17pl=5483>2<729q/=h7517;8L15b3A>9o6*>1e81e>o68o0;66g>1183>>o6980;66g>1383>>o69:0;66g>1583>>i6ko0;66sm2c394?1=83:p(<k6:04:?M24m2B?>n5+10f95f=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb3c0>5<3290;w)?j9;352>N3;l1C8?m4$03g>4g<a8:m6=44i033>5<<a8;:6=44o0ae>5<<uk8j>7>57;294~"6m00::45G42g8L14d3-;:h7<n;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th?>54?:583>5}#9l31=;84H51f?M25k2.:=i4>019j55`=831b=<>50;9j547=831d=nh50;9~f142290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;h7;>5<<a?81<75f1e494?=h9m=1<75rb2:0>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd40=0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0j7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?j7dn3:17pl<2e83>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm33g94?5=83:p(<k6:046?M24m2B?>n5+10f963=n99l1<75f10294?=h9jl1<75rb20e>5<4290;w)?j9;351>N3;l1C8?m4$03g>70<a8:m6=44i033>5<<g8im6=44}c104?6=;3:1<v*>e88220=O<:o0D9<l;%32`?413`;;j7>5;h324?6=3f;hj7>5;|`074<72:0;6=u+1d;9533<@=9n7E:=c:&25a<5>2c:<k4?::k255<722e:ok4?::a764=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f65429086=4?{%3f=?71=2B??h5G43a8 47c2;<0e<>i:188m4772900c<mi:188yg54<3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7d??f;29?l7683:17b?lf;29?xd4;<0;6>4?:1y'5`?=9??0D9=j;I61g>"69m09:6g>0g83>>o6990;66a>cg83>>{e:on1<7;50;2x 4c>28<<7E:<e:J76f=#98n1=h5+1e79010<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c134?6=>3:1<v*>e8822==O<:o0D9<l;%32`?7a3-;o97:;6:k24c<722c:==4?::k254<722c:=?4?::k256<722e:ok4?::a754=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=:91b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f6e6290<6=4?{%3f=?7112B??h5G43a8 47c2;:0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg5e:3:1?7>50z&2a<<6><1C8>k4H50`?!76l330e<>i:188m4772900c<mi:188yg5c;3:187>50z&2a<<6>?1C8>k4H50`?!76l3;;<6g>0g83>>o6990;66g>1083>>i6ko0;66sm3b;94?5=83:p(<k6:046?M24m2B?>n5+10f95<=#9m?189l4i02e>5<<a8;;6=44o0ae>5<<uk9o=7>57;294~"6m00::45G42g8L14d3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th8oi4?:483>5}#9l31=;94H51f?M25k2.:=i4>e:&2`0<3<>1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f6ee290?6=4?{%3f=?71>2B??h5G43a8 47c28n0(<j::56a?l77n3:17d?>0;29?l7693:17b?lf;29?xd4ko0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0:j6*>d48702=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj;oh6=4=:183!7b13;==6F;3d9K07e<a8:n6=44o0ae>5<<uk9i87>52;294~"6m00::<5G42g8L14d3`;;i7>5;n3`b?6=3th?<i4?:ca94?6|,8o26<j7;I60a>N3:j1Q9:4l{01950<b2>036n4>7;37>4>=n3;=6o4rn71961=i>=0986`k3;28jc3=82.:<>4>079'552=9l20(<k::39'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<k53:&25c<43-;9<7=4$002>6=#9;81?6*>2280?!75<390(<<::29'570=;2.:>:4<;%31<?5<,8826>5+13c97>"6:k087)?=c;18 44c2:1/=?k53:&26c<43-;8<7=4$012>6=#9:81?6*>3280?!74<390(<=::29'560=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=;>51bg8 4bc28o<7)?ke;7:?!7cn3?27)?j1;77?!7b:3;n86*>5d81?!72n380(9=n:51:?!24j3>856*>e781?l7c13:17d;l:188m4652900e<jl:188m0b=831b=io50;9j5ad=831b==?50;9jef<72-;o87om;o3g7?6<3`kj6=4+1e69eg=i9m91=65fa883>!7c<3ki7c?k3;08?lg?290/=i:5ac9m5a5=;21b8>=50;&2`1<3;;1e=i=50:9j067=83.:h94;339m5a5=921b8>>50;&2`1<3;;1e=i=52:9j07`=83.:h94;339m5a5=;21doo4?:%3g0?ef3g;o?7>4;na:>5<#9m>1ol5a1e195>=hk>0;6)?k4;ab?k7c;3807bm9:18'5a2=kh1e=i=53:9lg0<72-;o87mn;o3g7?2<3fi?6=4+1e69gd=i9m91965`c283>!7c<3ij7c?k3;48?je5290/=i:5c`9m5a5=?21do<4?:%3g0?ef3g;o?764;na3>5<#9m>1ol5a1e19=>=hjo0;6)?k4;ab?k7c;3k07blj:18'5a2=kh1e=i=5b:9lff<72-;o87mn;o3g7?e<3fhi6=4+1e69gd=i9m91h65`b`83>!7c<3ij7c?k3;g8?jd>290/=i:5c`9m5a5=n21dn54?:%3g0?ef3g;o?7??;:ma3?6=,8n?6no4n0f0>47<3fh=6=4+1e69gd=i9m91=?54oc794?"6l=0hm6`>d2827>=hj=0;6)?k4;ab?k7c;3;?76am3;29 4b32jk0b<j<:078?jb5290/=i:5c`9m5a5=9?10ci?50;&2`1<di2d:h>4>7:9l`5<72-;o87mn;o3g7?7?32ehj7>5$0f7>fg<f8n86<74;naf>5<#9m>1ol5a1e195d=<gjn1<7*>d58`e>h6l:0:n65`cb83>!7c<3ij7c?k3;3`?>id03:1(<j;:bc8j4b428n07blk:18'5a2=kh1e=i=51d98kg4=83.:h94la:l2`6<6n21b?o4?:%3g0?5f3g;o?7>4;h1:>5<#9m>1?l5a1e195>=n;10;6)?k4;1b?k7c;3807d=8:18'5a2=;h1e=i=53:9j01<72-;o87=n;o3g7?2<3`>86=4+1e697d=i9m91965f4383>!7c<39j7c?k3;48?l26290/=i:53`9m5a5=?21b8=4?:%3g0?5f3g;o?764;h1e>5<#9m>1?l5a1e19=>=n;l0;6)?k4;1b?k7c;3k07d=k:18'5a2=;h1e=i=5b:9j7f<72-;o87=n;o3g7?e<3`9=6=4+1e697d=i9m91h65f4`83>!7c<3>27c?k3;28?l2?290/=i:5489m5a5=921b8:4?:%3g0?2>3g;o?7<4;h65>5<#9m>1845a1e197>=n=:0;6)?k4;6:?k7c;3>07d;=:18'5a2=<01e=i=55:9j14<72-;o87:6;o3g7?0<3`?;6=4+1e690<=i9m91;65f4g83>!7c<3>27c?k3;:8?l2b290/=i:5489m5a5=121b8i4?:%3g0?2>3g;o?7o4;h6`>5<#9m>1845a1e19f>=n<k0;6)?k4;6:?k7c;3i07d:::18'5a2=<01e=i=5d:9je5<72-;o877i;o3g7?6<3`3n6=4+1e69=c=i9m91=65f9b83>!7c<33m7c?k3;08?l?e290/=i:59g9m5a5=;21b5l4?:%3g0??a3g;o?7:4;h;:>5<#9m>15k5a1e191>=n110;6)?k4;;e?k7c;3<07d78:18'5a2=1o1e=i=57:9j=3<72-;o877i;o3g7?><3`3>6=4+1e69=c=i9m91565f9583>!7c<33m7c?k3;c8?l?4290/=i:59g9m5a5=j21b5<4?:%3g0??a3g;o?7m4;h;3>5<#9m>15k5a1e19`>=n0o0;6)?k4;;e?k7c;3o07d6j:18'5a2=1o1e=i=5f:9j<a<72-;o877i;o3g7?7732c3o7>5$0f7><`<f8n86<?4;h:a>5<#9m>15k5a1e1957=<a1k1<7*>d58:b>h6l:0:?65f8883>!7c<33m7c?k3;37?>o?03:1(<j;:8d8j4b428?07do8:18'5a2=1o1e=i=51798md0=83.:h946f:l2`6<6?21bm84?:%3g0??a3g;o?7?7;:kb0?6=,8n?64h4n0f0>4?<3`k86=4+1e69=c=i9m91=l54i`094?"6l=02j6`>d282f>=ni80;6)?k4;;e?k7c;3;h76g6d;29 4b320l0b<j<:0f8?l?5290/=i:59g9m5a5=9l10e5950;&2`1<>n2d:h>4>f:9lbd<72-;o87h6;o3g7?6<3fl36=4+1e69b<=i9m91=65`f683>!7c<3l27c?k3;08?j`1290/=i:5f89m5a5=;21bn<4?:%3g0?d73g;o?7>4;hce>5<#9m>1n=5a1e195>=nil0;6)?k4;`3?k7c;3807dok:18'5a2=j91e=i=53:9lbc<72-;o87hj;o3g7?6<3flo6=4+1e69b`=i9m91=65`fb83>!7c<3ln7c?k3;08?j`e290/=i:5fd9m5a5=;21dii4?:%3g0?cd3g;o?7>4;nga>5<#9m>1in5a1e195>=hm00;6)?k4;g`?k7c;3807bk7:18'5a2=mj1e=i=53:9la2<72-;o87kl;o3g7?2<3fo=6=4+1e69af=i9m91965`e483>!7c<3oh7c?k3;48?jc3290/=i:5eb9m5a5=?21di>4?:%3g0?cd3g;o?764;ng1>5<#9m>1in5a1e19=>=hm80;6)?k4;g`?k7c;3k07bk?:18'5a2=mj1e=i=5b:9l``<72-;o87kl;o3g7?e<3fno6=4+1e69af=i9m91h65`db83>!7c<3oh7c?k3;g8?jbe290/=i:5eb9m5a5=n21dhl4?:%3g0?cd3g;o?7??;:mg=?6=,8n?6hm4n0f0>47<3fn36=4+1e69af=i9m91=?54oe594?"6l=0no6`>d2827>=hl?0;6)?k4;g`?k7c;3;?76ak5;29 4b32li0b<j<:078?j`3290/=i:5eb9m5a5=9?10ck=50;&2`1<bk2d:h>4>7:9lb7<72-;o87kl;o3g7?7?32em=7>5$0f7>`e<f8n86<74;nd3>5<#9m>1in5a1e195d=<gll1<7*>d58fg>h6l:0:n65`ed83>!7c<3oh7c?k3;3`?>ibi3:1(<j;:da8j4b428n07bji:18'5a2=mj1e=i=51d98ka2=83.:h94jc:l2`6<6n21b8>650;&2`1<3;>1e=i=50:9j060=83.:h94;369m5a5=921b8>;50;&2`1<3;>1e=i=52:9j062=83.:h94;369m5a5=;21b;k4?:%3g0?1b3g;o?7>4;h5g>5<#9m>1;h5a1e195>=n?k0;6)?k4;5f?k7c;3807d9n:18'5a2=?l1e=i=53:9j3<<72-;o879j;o3g7?2<3`=36=4+1e693`=i9m91965f7683>!7c<3=n7c?k3;48?l11290/=i:57d9m5a5=?21b;84?:%3g0?1b3g;o?764;h57>5<#9m>1;h5a1e19=>=n?:0;6)?k4;5f?k7c;3k07d9=:18'5a2=?l1e=i=5b:9j35<72-;o879j;o3g7?e<3`<m6=4+1e693`=i9m91h65f6d83>!7c<3=n7c?k3;g8?l0c290/=i:57d9m5a5=n21b:n4?:%3g0?1b3g;o?7??;:k5f?6=,8n?6:k4n0f0>47<3`<j6=4+1e693`=i9m91=?54i7;94?"6l=0<i6`>d2827>=n>10;6)?k4;5f?k7c;3;?76g97;29 4b32>o0b<j<:078?l>1290/=i:57d9m5a5=9?10e5;50;&2`1<0m2d:h>4>7:9j<1<72-;o879j;o3g7?7?32c3?7>5$0f7>2c<f8n86<74;h:1>5<#9m>1;h5a1e195d=<a1;1<7*>d584a>h6l:0:n65f8183>!7c<3=n7c?k3;3`?>o0k3:1(<j;:6g8j4b428n07d9>:18'5a2=?l1e=i=51d98m30=83.:h948e:l2`6<6n21v97j:181[20m27?<i48f:p0<e=838pR99k;<63`?1c3ty?5l4?:3y]02d<5=:o6:l4}r6:=?6=:rT?;l5241f93d=z{=336=4={_64=>;38m0<56s|48594?4|V==370:?d;5;?xu31?0;6?uQ4658916c2>=0q~:65;296~X3??168=j5779~w1?32909wS:85:?74a<0=2wx84=50;0xZ11334>;h79;;|q7=7<72;qU8:=4=52g>25<uz>2=7>52z\737=:<9n1;?5rs5:e>5<5sW><<63;0e844>{t<1o1<7<t^54e?827l3<m7p};8e83>7}Y<?o019>k:7g8yv2?k3:1>vP;6e9>05b=>m1v96m:181[21k27?<i49c:p0=g=838pR98m;<63`?0e3ty?444?:3y]03g<5=:o6;o4}r6;<?6=:rT?:45241f92<=z{=2<6=4={_65<>;38m0=46s|49494?4|V=<<70:?d;44?xu3i<0;6?uQ4968916c21<0q~:n4;296~X30:168=j5849~w1g42909wS:72:?74a<?<2wx8l<50;0xZ1>634>;h76<;|q7e4<72;qU85>4=52g>=4<uz>j<7>52z\73c=:<9n14<5rs5;e>5<5sW><o63;0e8;4>{t<0h1<7<t^552?827l3=h7p};9183>7}Y<?<019>k:638yv2?=3:1>vP;649>05b=>?1v8=?:180[35>2789:4>0g9>70e=98:0q~;=e;297~X2:<16?8851038963e28;97p}:2e83>6}Y=;>01>;9:02e?852j3;:=6s|53a94?5|V<8870=:5;325>;4=h0:=?5rs40a>5<4sW?9>63<54824c=:;<k1=<?4}r71e?6=;rT>><523469547<5:?26<?=;|q66<<72:qU9?>4=277>46a349>57?>1:p17>=839pR8?i;<167?769278954>139~w0402908wS;>e:?016<68o16?8651038yv33;3:1?vP:389>71>=99l01>:k:033?xu2<80;6>uQ52:8962028;:70=;c;326>{t==:1<7=t^414?853?3;;j63<4b8254=z{<9m6=4<{_702>;4<?0:=<5235`9544<uz?8i7>53z\670=:;=<1==h4=26a>4763ty>?i4?:2y]162<5:>>6<?>;<17e?76:2wx9>m50;1xZ054349?97??f:?00d<6981v8=m:180[34:278894>109>71?=9880q~;<a;297~X2;816?9:511d8962>28;:7p}:4583>17|V<>?70<j7;7;?84b>3?370<j9;7;?825:3?370:=1;7;?826j3?370:>a;7;?82613?370:=0;7;?855;3?370==2;7;?85593?370==0;7;?856n3?370=>e;7;?856l3?370=>c;7;?856j3?370=>1;7;?85683?370=?f;7;?857m3?370=?d;7;?857k3?370=?b;7;?857i3?370=?9;7;?840<3?370<83;7;?840:3?370<81;7;?84083?370<9f;7;?841m3?370<9d;7;?841k3?370<mb;7;?84ei3?370<m9;7;?84e03?370<m7;7;?84e>3?370<m5;7;?84e<3?370<m3;7;?84>03?370<67;7;?84>>3?370<65;7;?84><3?370<63;7;?84>:3?370<61;7;?84>83?370<=d;7;?845k3?370<=b;7;?845i3?370<=9;7;?84503?370<=7;7;?845>3?370<=5;7;?827l3?o7p}:4g83>0}Y==l01?km:02e?84b03;;j63;0g824c=::l>1==h4}r765?6=?rT>9<5240091`=:<8?1=i84=537>4b134>9<7?k6:?756<6l?168?;51e48yv3203:19kuQ54:896d72<201>oi:4:891752<2019<8:4:891722<2019<9:4:891732<2019?i:4:8917b2<2019?<:4:8961>2<201>97:4:896102<201>99:4:896122<201>9;:4:896142<201>9=:4:896162<2019>l:4:8916e2<2019>n:4:8916>2<2019>7:4:891602<2019>9:4:891622<2019>;:4:896`f2<201>h6:4:896`?2<201>h8:4:896`12<201>h::4:896`32<201>h<:4:896`52<201>k7:4:896c02<201>k9:4:896c22<201>k;:4:896c42<201>k=:4:896c62<201>k?:4:896b12<201>j::4:896b32<201>j=:4:896b72<201>mj:4:896ed2<201>mn:4:896e?2<201??m:4:8977f2<201??6:4:8977?2<201??8:4:897712<201??::4:897732<201??<:4:897052<201?8>:4:897072<201?;i:4:8973b2<201?;k:4:8973d2<201?;m:4:8973f2<201?=i:4:8975b2<201?=k:4:8975d2<201?=m:4:8975f2<201?=6:4:8975?2<201?=8:4:897>12<201?6::4:897>32<201?6<:4:897>52<201?6>:4:897>72<201?9i:4:8971b2<2019<::4:896>42<2019>k:4a8yv31;3:1mvP:629>7g7=99l01>6::02e?85e:3;;j63<d2824c=:;j31==h4=2f2>477349hh7?>0:?0gg<69916?nh51028yv21<3:1;ouQ4708Z1063W>=<6P;5g9]00c<V=?o7S::c:\71g=Y<<k0R8:k;_77g>X2<k1U99o4^46:?[3302T>8:5Q5548Z0223W?==6P:619]10`<V<?n7S;:d:\61f=Y=<h0R8;n;_76=>X3=<1U8884^5d0?[2a<2T>985Q5458Z0353W>=863;3g824f=:<8h1:?5240c927=:<831:?52432927=:<8l1:?5240g927=:<891:?5239f920=:;1i1:85239`920=:;1k1:85239g920=:;h:1:85238d920=:;0o1:85238f920=:;h;1:8522b1920=::j81:8522b3920=::j:1:8522b6920=::m<1:8522e7920=::m>1:8522e1920=::m=1:85233691g=:;;>1=h>4=50;>47634>;h7?k9:?74a<6lh168=j51e`8916c2hi019>k:`c8916c2h3019>k:`:8916c2:l019>k:2g8916c2:n019>k:2a8916c2:<019>k:5g8916c2=n019>k:5a8916c2=h019>k:578916c2h:019>k:8g8916c20i019>k:8`8916c20k019>k:8;8916c202019>k:858916c20<019>k:878916c20>019>k:818916c20;019>k:828916c21l019>k:9g8916c21n019>k:9a8916c21h019>k:9c8916c213019>k:9:8916c2h=019>k:`48916c2h?019>k:`68916c2h9019>k:`08916c2h;019>k:8f8916c208019>k:958916c2k;019>k:`d8916c2ho019>k:`f8916c2=9370:?d;602>;38m0??85241f9062<uz>oj7>52z\7fc=:<9n1ii5rs5fg>5<5sW>ii63;0e8ff>{t<mh1<7<t^5``?827l3o27p};d`83>7}Y<kh019>k:d:8yv2c13:1>vP;b`9>05b=m>1v9j7:181[2e127?<i4j6:p0a1=838pR9l7;<63`?c23ty?h;4?:3y]0g1<5=:o6h:4}r6g1?6=:rT?n;5241f9a6=z{=n?6=4={_6a1>;38m0n>6s|4e194?4|V=h?70:?d;g2?xu3l;0;6?uQ4c18916c2l:0q~:k0;296~X3j8168=j5dd9~w1ea2909wS:m0:?74a<cl2wx8nk50;0xZ1ga34>;h7jl;|q7ga<72;qU8lk4=52g>ad<uz>ho7>52z\7ea=:<9n1hl5rs5aa>5<5sW>jo63;0e8g=>{t<jk1<7<t^5ca?827l3n37p};c883>7}Y<hk019>k:e58yv2d03:1>vP;a89>05b=l?1v9m8:181[2f027?<i4k5:p0`0=838pR9m:;<63`?`33ty?i84?:3y]0f2<5=:o6k=4}r6f0?6=:rT?o>5241f9b7=z{=o86=4={_6`6>;38m0m=6s|4d094?4|V=i:70:?d;d3?xu3m80;6?uQ4b28916c2ll0q~:j0;296~X3jm168=j5ed9~w1bd2909wS:m2:?74a<bi2wx8i?50;0xZ1g034>;h7ji;|q7g3<72;qU8l84=52g>a2<uz8n;7>53z\7a==::l=1=i94=3g;>4773ty8n=4?:3y]0`c<5:h;6<j8;|q0<6<72:qU9<=4=2:0>4b0349387??f:p6`?=838pR8;9;<0f=?7c?2wx=;k50;5x912728n:70=7a;7a?85>l3?i70<l0;7a?84c;3?i70=<f;7a?853m3?i7p}=e283>6}::l=1:?522d4927=::l>1=nh4}r62<?6=9?q6>h951e4897c128n=70<j9;7f?826j3;o;63<2286a>;4:;0>i63<2086a>;4:90>i63<1g86a>;49l0>i63<1e86a>;49j0>i63<1c86a>;4980>i63<1186a>;48o0>i63<0d86a>;48m0>i63<0b86a>;48k0>i63<0`86a>;4800:h;5rs3g5>5<3s48n:7?k7:?1ag<699168=h5103897c328;;7p}=e`83>7}::l31:?522d:95f`<uz9jh7>53z?0f5<1:278mk492:?0<0<6ko1v9?;:18685e83;o:63<ag82`3=:<8?1:?5240695a1<5:286<j9;|q0ec<72?q6?lh51e5896d628;;70=75;325>;40=0:=<523c09546<5:h?6<>j;|q750<72;q68<<5639>043=9m=0q~:>2;297~;39;0:h:523979546<5:2?6<??;|q764<72:q68?<5639>077=9m=019<?:4;8yv25:3:1>v3;2382`2=:<;>1==h4}r612?6=;r7?>:492:?763<6l>168?6511d8yv25?3:1>v3;2682`2=:<;k1==h4}r614?6=;r7?><492:?765<6l>168?:51028yv25=3:18v3;27856>;3:h0:==5243:9546<5=8>6<j8;|q756<72;q68<:5639>045=9m=0q~:=3;290~;39k0:h;5240c95a0<5=;26<j9;<610?7dn2wx8<950;06826i3;o;63=7586a>;5?:0>i63=7386a>;5?80>i63=7186a>;5>o0>i63=6d86a>;5>m0>i63=6b86a>;5jk0>i63=b`86a>;5j00>i63=b986a>;5j>0>i63=b786a>;5j<0>i63=b586a>;5j:0>i63=9986a>;51>0>i63=9786a>;51<0>i63=9586a>;51:0>i63=9386a>;5180>i63=9186a>;5:m0>i63=2b86a>;5:k0>i63=2`86a>;5:00>i63=2986a>;5:>0>i63=2786a>;5:<0>i6s|40494?5|5=;26<j8;<63b?76827?<i4>db9~w14>2908w0:>f;3g2>;39l0:h;5243c95f`<uz>:h7>52dy>04`=9m=01>96:4g8961?2<o01>98:4g896112<o01>9::4g896132<o01>9<:4g896152<o01>9>:4g8916d2<o019>m:4g8916f2<o019>6:4g8916?2<o019>8:4g891612<o019>::4g891632<o01>hn:4g896`>2<o01>h7:4g896`02<o01>h9:4g896`22<o01>h;:4g896`42<o01>h=:0f5?85b03?n70=j7;7f?85b>3?n70=j5;7f?85b<3?n70=j3;7f?85b:3?n70=j1;3g2>;4m90>i63<d786a>;4l<0>i63<d586a>;4l;0>i63<d186a>;4kl0>i63<cb86a>;4kh0:h;523b:95a0<uz>:o7>524y>04c=9m=01??m:4g8977f2<o01??6:4g8977?2<o01??8:4g897712<o01??::4g897732<o01??<:4g897052<o01?8>:4g897072<o01?;i:4g8973b2<o01?;k:4g8973d2<o01?;m:4g8973f2<o01?=i:4g8975b2<o01?=k:4g8975d2<o01?=m:4g8975f2<o01?=6:4g8975?2<o01?=8:4g897>12<o01?6::4g897>32<o01?6<:4g897>52<o01?6>:4g897>72<o01?9i:4g8971b2<o0q~=78;296~;40m0>n63<8b82gc=z{:3>6=4={<1;`?7b82785;4>cg9~w6>>2909w0=7d;3`b>;40l0>n6s|39594?4|5:2h68l4=2:a>4ea3ty85>4?:3y>7=e=9l:01>7;:0ae?xu40?0;6?u239`91g=:;1k1=nh4}r1:5?6=:r784o4>e19>7<4=9jl0q~=7f;296~;40h0:i=5238295f`<uz92;7>52z?0<`<6m916?4651bd8yv5fk3:1>v3<8d82gc=:;1?1=<=4}r1:f?6=:r78m=4:b:?0=c<6ko1v>o7:18185f83;n<63<a882gc=z{:3h6=4={<1b4?7dn278m<4:b:p7<g=838p1>7i:4`896?b28im7p}<a783>7}:;0l1=h>4=2c4>4ea3ty8544?:3y>7<c==k16?4j51bd8yv5f<3:1>v3<9d82a5=:;h?1=nh4}r1b6?6=:r785i4>e19>7d5=9jl0q~=na;296~;4i80:i=523``95f`<uz9ji7>52z?0e4<6ko16?5;51008yv4em3:1>v3=c286f>;5k;0:ok5rs3aa>5<5s48h?7?j0:?1gf<6ko1v?li:18184d;3;hj63=c586f>{t:kn1<7<t=3a1>0d<5;i:6<mi;|q1g<<72;q6>n<51d2897ef28im7p}=bb83>7}::j;19o522b295f`<uz8h;7>52z?1g4<6m916>n651bd8yv4d=3:1>v3=c182a5=::j<1=nh4}r0``?6=:r79o94>e19>6fc=9jl0q~<j2;296~;5k=0:ok522d69547<uz8o=7>52z?1`3<2j279h84>cg9~w7bb2909w0<k6;3f4>;5lo0:ok5rs3f1>5<5s48o:7?lf:?1`2<2j2wx>i>50;0x97b22<h01?j;:0ae?xu5lj0;6?u22e795`6<5;no6<mi;|q1gc<72;q6>i:55c9>6a5=9jl0q~<ka;296~;5l=0:i=522e`95f`<uz8o47>52z?1`6<6m916>i751bd8yv4b83:1>v3=d682a5=::l;1=nh4}r0f1?6=:r79h:4>cg9>6`2=9880q~:>0;2956}:;;91945233091<=:;;;1945233291<=:;8l1945230g91<=:;8n1945230a91<=:;8h1945230391<=:;8:1945231d91<=:;9o1945231f91<=:;9i1945231`91<=:;9k1945231;91<=::lh1=nh4}r0e3?6=<r78>>492:?054<6l>16>h?51028966028:m7p}<1`83>0}:;;91=i94=30g>34<5;in6<??;<3e5?76827?<i4;a:p6c0=83?p1><=:708967728n<70<kf;325>;48?0:<k523159546<uz9:57>55z?067<6l>16>nm5100894`628:m70?i0;324>;38m0?46s|2g794?0|5:8:6;<4=22e>4b0348oj7?>2:?043<69916?=:511d8966?28:m7p}<1983>0}:;;;1=i94=3a`>47634;m<7??f:?2ac<699168=j5469~w7`3290<w0==0;41?857m3;o;63=de8254=:;9<1=<?4=227>477349;47?>0:?047<68o1v>?8:18685583;o;63=c`8257=:9ll1==h4=0gf>47734>;h7:9;|q1b6<721q6?<h5639>75b=9m=01?jk:031?857>3;:>63<058254=:;9=1=<?4=223>46a349;>7?>0:p740=83?p1>?i:0f4?84di3;:=63>ed824c=:9ln1=<>4=52g>05<uz8m>7>59z?05`<1:278<n4>d69>6ad=98801>>9:030?857<3;:>63<068257=::on1==h4=223>477349;>7?>1:p743=83?p1>?j:0f4?84d03;:>63>ee824c=:9li1=<>4=52g>04<uz8m=7>58z?05a<1:278<o4>d69>6ad=98;01?hl:02e?84bm3;;j63=fe8257=:;9:1=<=4=221>4733ty8=94?:4y>74b=9m=01?m7:032?87bk3;;j63>ec8255=:<9n19<5rs3d3>5<>s49:o78=;<13e?7c?279h44>109>6ce=98:01?kk:033?84bm3;:=63=fe8254=:;9:1=<<4=221>4743ty8=>4?:4y>74e=9m=01?m9:031?87bj3;;j63>e`8255=:<9n19=5rs3ge>5<fs49:n78=;<13=?7c?279h44>139>6ce=98;01?kk:02e?84bm3;:<63=fe8255=:;9:1=<?4=221>475348no7??e:p744=83>p1>?m:0f4?84d>3;:=63>e`824c=:<9n18k5rs224>5<5s49:=78=;<133?7dn2wx?=;50;0x96772?801>>9:0ae?xu48:0;6?u231d927=:;9>1=nh4}r135?6=:r78<h492:?047<6ko1v?hi:181857l3<970=?0;3`b>{t:oh1<7<t=22`>34<5;lo6<mi;|q1bd<72;q6?=l5639>6`c=9jl0q~<i9;296~;48h0=>63=ee82gc=z{;l36=4={<13=?05348no7?lf:p714=838p1>:<:4`8962528im7p}<4e83>7}:;=91:85235f95f`<uz9?47>52z?006<6m916?9651bd8yv51?3:1>v3<4282gc=:;1>1=<=4}r144?6==r78854>119>71b=99l01>;8:033?852k3;;j63<7882`2=z{:>:6=4={<176?3e349?=7?lf:p71e=838p1>:=:778962d28im7p}<4683>7}:;=81=h>4=264>4ea3ty8:h4?:4y>711=98:01>:l:033?852>3;:<63<5c8255=:;>=1=i94}r15b?6==r788:4>139>71e=99l01>;9:031?852j3;;j63<7982`2=z{:>;6=4={<175?3e349?<7?lf:p71d=838p1>:>:778962e28im7p}<4783>7}:;=;1=h>4=265>4ea3ty8:n4?:4y>710=98:01>:m:033?852=3;:<63<5`8255=:;>?1=i94}r15`?6==r788;4>139>71d=99l01>;::031?852i3;;j63<7782`2=z{:9m6=4={<174?3e3498j7?lf:p71g=838p1>:?:778962f28im7p}<4483>7}:;=:1=h>4=266>4ea3ty8:l4?:4y>713=98:01>:n:033?852<3;:<63<588255=:;>91=i94}r15f?6==r78884>139>71g=99l01>;;:031?85213;;j63<7582`2=z{:>26=4={<10b?02349?57?lf:p712=838p1>=i:0g3?853<3;hj6s|37:94?3|5:>?6<??;<17=?7682789>4>119>70>=98:01>9>:0f4?xu4>00;68u23569544<5:>26<>i;<167?76:278954>0g9>724=9m=0q~=:1;296~;4=;0>n63<5082gc=z{:?h6=4={<166?02349>o7?lf:p701=838p1>;=:0g3?852?3;hj6s|34094?4|5:?96<mi;<1;0?76:2wx?8>50;0x96362<h01>;?:0ae?xu4=k0;6?u2343920=:;<h1=nh4}r162?6=:r789<4>e19>700=9jl0q~=;f;296~;4=90>n63<4g82gc=z{:?j6=4={<164?02349>m7?lf:p703=838p1>;?:0g3?852=3;hj6s|35g94?4|5:>m68l4=26f>4ea3ty8944?:3y>71`=><16?8751bd8yv52<3:1>v3<4g82a5=:;<>1=nh4}r16<?6=:r788h495:?01=<6ko1v>;<:181853m3;n<63<5282gc=z{:8h6=4={<10a?3e3499o7?lf:p763=838p1>=j:041?854=3;hj6s|39094?4|5:9n6<mi;<14=?053ty8>o4?:2y>76b==k16??m55c9>77d=9jl0q~=<4;297~;4;m0::?5233a95`6<5:9?6<mi;|q0<4<72;q6?>j51bd8961?2?80q~=i0;291~;4:j0=963;0c856>;4n00:h:523849546<5:9?6<>i;|q06d<72:q6?>m55c9>77d==k16??o51bd8yv54;3:1?v3<3b8227=:;;h1=h>4=210>4ea3ty84=4?:3y>76e=9jl01>98:708yv5bn3:19v3<2c851>;38h0=>63<f982`2=:;0<1=<<4=210>46a3ty8>44?:2y>76d==k16??o55c9>77?=9jl0q~=<2;297~;4;k0::?5233c95`6<5:996<mi;|q03c<72;q6?>l51bd896112?80q~=je;291~;4:h0=963;08856>;4n>0:h:523869546<5:996<>i;|q06=<72:q6?>o55c9>77?==k16??651bd8yv5493:1?v3<3`8227=:;;31=h>4=212>4ea3ty8;h4?:3y>76g=9jl01>9::708yv5bl3:19v3<28851>;3810=>63<f782`2=:;0>1=<<4=212>46a3ty8>:4?:2y>76?==k16??655c9>771=9jl0q~=<0;297~;4;00::?5233:95`6<5:9;6<mi;|q03a<72;q6?>751bd896132?80q~=jc;291~;4:10=963;06856>;4n<0:h:523809546<5:9;6<>i;|q063<72:q6?>655c9>771==k16??851bd8yv55n3:1?v3<398227=:;;=1=h>4=20e>4ea3ty8;n4?:3y>76>=9jl01>9<:708yv5bj3:19v3<26851>;38?0=>63<f582`2=:;081=<<4=20e>46a3ty8>84?:2y>761==k16??855c9>773=9jl0q~==e;297~;4;>0::?5233495`6<5:8n6<mi;|q03g<72;q6?>951bd896152?80q~=ja;291~;4:?0=963;04856>;4n:0:h:523829546<5:8n6<>i;|q061<72:q6?>855c9>773==k16??:51bd8yv55l3:1?v3<378227=:;;?1=h>4=20g>4ea3ty8;l4?:3y>760=9jl01>9>:708yv5b13:19v3<24851>;38=0=>63<f382`2=:;0:1=<<4=20g>46a3ty8n<4?:02x96432??01>k7:4;896c02<301>k9:4;896c22<301>k;:4;896c42<301>k=:4;896c62<301>k?:4;896d528im70:?d;335>;38m0??>5241f9067<5=:o69=?;<63`?25n2wx8<?50;35827k3?270:?b;7:?827i3?270:?9;7:?82703?270:?7;7:?827>3?270:?5;7:?827<3?270=ia;7:?85a13?270=i8;7:?85a?3?270=i6;7:?85a=3?270=i4;7:?85a;3?270=i2;7:?85c>3?270=k5;7:?85c<3?270=m1;3`b>{t;o;1<7:t=52`>34<5:lj6<j8;<1:<?768278?84>0g9~w164290?w0:?c;3g3>;50?0=>63>f88255=:<9n1?o5rs521>5<3s4>;n7?k7:?2b<<68o16=k651028916c2:30q~:?1;290~;38h0:h:521g:955`<58l<6<??;<63`?5?3ty?<=4?:5y>05?=9m=01<h8:02e?87a>3;:<63;0e803>{t;ol1<7:t=52;>4b034;m:7??f:?2b0<699168=j5459~w6`b290?w0:?7;3g3>;6n<0:<k521g69546<5=:o69=4}r1e`?6=<r7?<;4>d69>5c2=99l01<h<:033?827l3>97p}<fb83>1}:<9?1=i94=0d0>46a34;m>7?>0:?74a<392wx?kl50;1x916328n<70?i2;33b>;38m0?<6s|3ed94?5|5:lj6;<4=2g;>4b0349jn7??f:p7ac=839p1>h6:70896c028n<70=n9;324>{t;mn1<7=t=2d;>34<5:o=6<j8;<1b=?77n2wx?im50;1x96`02?801>k::0f4?85f?3;:<6s|3e`94?5|5:l=6;<4=2g7>4b0349j;7??f:p7ag=839p1>h::70896c428n<70=n5;324>{t;m31<7=t=2d7>34<5:o96<j8;<1b1?77n2wx?i650;1x96`42?801>k>:0f4?85f;3;:<6s|3e594?5|5:l96;<4=2g3>4b0349j?7??f:p7gb=839p1>k7:70896b128n<70=l6;33b>{t;ki1<7:t=2g4>34<5:n>6<j8;<1`1?77n278o;4>119~w6de290>w0=j6;41?85c<3;o;63<c48255=:;j91==h4=2a4>46a3ty8nl4?:6y>7`3=>;16?i<51e5896e228;:70=l3;324>;4k>0:==523b3955`<5:n86<??;|q0f<<72>q6?h:5639>7a6=9m=01>m::031?85d;3;:=63<c78254=:;j;1=<>4=2f2>46a3ty8n54?:9y>7`5=>;16?nk51e5896e228;870=l3;326>;4k?0:=?523b39547<5:n:6<?>;<1`b?77n2wx?o950;5x96c52?801>ml:0f4?85em3;;j63<c08251=:;m;1=<:4=2ag>46a349hj7?>3:p7g0=832p1>k>:70896ef28n<70=me;324>;4k80:=>523e39545<5:io6<?=;<1`f?77n278ok4>139~w6d22902w0=j0;41?85d03;o;63<bd8254=:;j;1=<<4=2a:>477349o=7?>2:?0ga<69816?nl5103896ea28;:7p}<c783>7}:;m<1:?523b495f`<uz9h87>52z?0`0<1:278o84>cg9~w6e52909w0=k4;41?85d;3;hj6s|3c194?1|5:n96874=2f3>0?<5:in6874=2a`>0?<5:ij6874=2a;>0?<5:h?6<mi;|q0`6<72;q6?i<5639>7a5=9jl0q~=k1;296~;4l90=>63<d082gc=z{:im6=4={<1`a?05349hj7?lf:p7fb=838p1>ml:70896ec28im7p}<cc83>7}:;jk1:?523b`95f`<uz9h57>52z?0g=<1:278o44>cg9~w7g62903w0<84;41?84ej3;o;63=a98255=::hk1=<>4=3ca>475348jh7?>3:?1ec<69=16>l=51028yv41j3:1?v3=7582`2=::jo1==h4=3g2>46a3ty9m:4?:2y>625=>;16>l651bd897d628;?7p}=6`83>6}::>91=i94=3a`>477348oj7?>0:p6d?=838p1?9=:70897gf28im7p}=6883>6}::>81=i94=3a`>46a348oj7??f:p6de=839p1?9>:70897ge28im70<n5;320>{t:?21<7=t=352>4b0348hm7?>0:?1`a<6991v?oj:18184083<970<nd;3`b>{t:?=1<7=t=353>4b0348hm7??f:?1`a<68o1v?l?:181841n3<970<nf;3`b>{t:?<1<7=t=34e>4b0348h47?>0:?1`g<6991v?l=:181841m3<970<m1;3`b>{t:??1<7=t=34f>4b0348h47??f:?1`g<68o1v?o;:181841l3<970<n2;3`b>{t:?>1<7=t=34g>4b0348h:7?>0:?1`<<6991v?o9:181841k3<970<n5;3`b>{t:?91<7=t=34`>4b0348h:7??f:?1`<<68o1v?:7:18;846j3<970<92;3g3>;5<h0:==5225a9546<5;>o6<?=;<07b?76;2799<4>159>600=98:0q~<>2;290~;59k0:h:5238:955`<5:ki6<??;<101?7682wx>9750;1x977f2?801?:n:0ae?842;3;:86s|20394?2|5;;j6<j8;<1:2?77n278m44>139>762=98:0q~<;b;296~;5900=>63=4b82gc=z{;;;6=4;{<02=?7c?2785;4>109>7d?=98;01>=<:033?xu5<l0;6>u220:927=::=n1=nh4=37;>4733ty9<k4?:5y>64>=9m=01>7;:02e?85f?3;:>63<338255=z{;?;6=4={<023?05348?j7?lf:p65c=83>p1??8:0f4?85><3;:=63<a68254=:;:;1=<>4}r066?6=:r79=;492:?114<6ko1v?>k:187846>3;o;63<93824c=:;h?1=<<4=213>4773ty9994?:3y>643=>;16>8=51bd8yv47k3:18v3=1482`2=:;081=<?4=2c6>4763499j7?>0:p601=838p1??;:708973228im7p}=0c83>1}::8>1=i94=2;3>46a349j?7?>2:?06`<6991v?;6:181846;3<970<:8;3`b>{t:9k1<7:t=330>4b03492<7?>1:?0e6<69816??j51028yv4?n3:1>v3=bc856>;5110:h:5rs3:f>5<5s48im78=;<0:3?7c?2wx>l>50;5x97df28n<70<n8;33b>;5ih0:<k522``9547<5;ko6<?=;<0bb?76;279m>4>109~w7>c2909w0<m9;41?84>>3;o;6s|28d94?1|5;h26<j8;<0be?769279mo4>119>6db=98;01?oi:031?84e93;:>63=a38256=z{;2h6=4={<0a<?05348297?k7:p6<c=83<p1?l7:0f4?84fj3;;j63=ae8255=::hl1=<?4=3`2>474348j?7??f:p6=d=838p1?l8:70897?328n<7p}=9e83>3}::k=1=i94=3cg>46a348jj7?>0:?1e0<69816>o?5102897g528;:7p}=8`83>7}::k<1:?5228195a1<uz82o7>55z?1f3<6l>16>lh511d897g228;970<m1;325>;5i;0:=?5rs3::>5<5s48i978=;<0:6?7c?2wx>4l50;6x97d228n<70<n5;33b>;5j80:<k522`0955`<uz8347>52z?1f1<1:2795<4>d69~w7?f2908w0<m4;3g3>;5i<0:==522`09546<uz83;7>52z?1f6<1:2795=4>d69~w7?>2909w0<m3;3g3>;5i<0:=>5rs315>5<5s48=>78=;<00b?7c?2wx>>;50;0x97062?801?=j:0f4?xu5<>0;6:u227395a1<5;>j6<>i;<07g?77n2798i4>109>61`=98801?;>:030?842>3;:=6s|22694?4|5;<;6;<4=31g>4b03ty98;4?:6y>636=9m=01?:l:032?843l3;:<63=4g8254=::<;1=<<4=370>475348>97?>3:p665=838p1?;i:708975d28n<7p}=4483>3}::<l1=i94=36g>46a348?j7?>0:?114<69816>8=51018973128:m7p}=3383>7}::<o1:?5222`95a1<uz8?87>56z?11`<6l>16>9h511d8973628;;70<:8;325>;5=:0:==522479547<uz88=7>52z?11a<1:279?l4>d69~w724290>w0<:d;3g3>;5=80:<k5224:9544<5;?86<?>;<061?76:2wx>>>50;0x973d2?801?=6:0f4?xu5<;0;69u224a95a1<5;?36<>i;<067?77n279984>0g9~w74a2909w0<:b;41?84403;o;6s|25394?5|5;?i6<j8;<06<?768279984>119~w74b2909w0<:a;41?844?3;o;6s|25294?4|5;?j6<j8;<06<?76;2wx>:j50;0x97??2?801?69:0f4?xu5?j0;6?u2285927=::1?1=i94}r04f?6=:r795;492:?1<1<6l>1v?9n:18184>=3<970<73;3g3>{t:>31<7<t=3;7>34<5;296<j8;|q13=<72;q6>4=5639>6=7=9m=0q~<87;296~;51;0=>63=8182`2=z{;==6=4={<0:5?05348<j7?k7:p623=838p1?7?:708971b28n<7p}=2583>7}:::l1:?5223f95a1<uz89?7>52z?17`<1:279>n4>d69~w7452909w0<<d;41?845j3;o;6s|23394?4|5;9h6;<4=30b>4b03ty9>=4?:3y>66d=>;16>?751e58yv46n3:1>v3=3`856>;5:10:h:5rs33f>5<5s488578=;<013?7c?2wx><j50;0x975?2?801?<9:0f4?xu59j0;6?u2225927=::;?1=i94}r035?6=:r79>n492:?2b4<6ko1v?>?:181845j3<970?i0;3`b>{t9ol1<7<t=30b>34<58om6<mi;|q2b`<72;q6>?75639>5`c=9jl0q~?id;296~;5:10=>63>ee82gc=z{8lh6=4={<013?0534;no7?lf:p5cd=838p1?<9:70894ce28im7p}>f`83>7}::;?1:?521dc95f`<uz8;57>52z?1<0<1:27:j44>cg9~w76?2909w0<74;41?87a03;hj6s|21594?4|5;286;<4=0d4>4ea3ty9<;4?:3y>6=4=>;16=k851bd8yv47=3:1>v3=80856>;6n<0:ok5rs327>5<5s483<78=;<3e0?7dn2wx>==50;0x971a2?801<h<:0ae?xu58;0;6?u226g927=:9o81=nh4}r63a?6=:r7?<k4>cg9>05b=9980q~<ie;290~;5nj0:ok523149542<5::?6<?<;<133?76<2wx?oh50;6x96db28im70=l5;320>;4k:0:=>523b49542<uz;2o7>52z?04=<6ko16?=951018yv7e93:1>v3<c682gc=:;j<1=<=4}r3;6?6=:r799;4>cg9>603=98>0q~?67;296~;5i:0:ok522`09542<uz>947>52z?76=<6ko168?;5639~w6>32909w0=73;41?85?<3;hj6s|3b294?4|5:i:6<mi;<1g7?7692wv8;>:181[32927>:7;:1:&76g<6j>1v8;7:181[32027>:7;:8:&76g<6j11v8:;:181[33<27>:7;;4:&76g<6k;1v97j:181[20m27>:7:8e:&76g<6?>1v97l:181[20l27>:7:8d:&76g<60;1v97n:181[20j27>:7:8b:&76g<60m1v976:181[20i27>:7:8a:&76g<61=1v977:181[20127>:7:89:&76g<61?1v978:181[20027>:7:88:&76g<61>1v979:181[20?27>:7:87:&76g<6101v97::181[20>27>:7:86:&76g<61h1v97;:181[20=27>:7:85:&76g<61k1v97<:181[20<27>:7:84:&76g<61m1v97=:181[20;27>:7:83:&76g<61l1v97>:181[20:27>:7:82:&76g<61o1v96i:181[20827>:7:80:&76g<6i91v96j:181[21n27>:7:9f:&76g<6i81v96k:181[21m27>:7:9e:&76g<6i;1v96l:181[21l27>:7:9d:&76g<6i:1v96m:181[21k27>:7:9c:&76g<6i=1v96n:181[21j27>:7:9b:&76g<6i<1v966:181[21i27>:7:9a:&76g<6i?1v967:181[21127>:7:99:&76g<6i>1v968:181[21027>:7:98:&76g<6i11v969:181[21?27>:7:97:&76g<6i01v9o::181[2?<27>:7:74:&76g<6ih1v9o;:181[2?;27>:7:73:&76g<6ik1v9o<:181[2?:27>:7:72:&76g<6ij1v9o=:181[2?927>:7:71:&76g<6im1v9o>:181[2?827>:7:70:&76g<6il1v9o?:181[20n27>:7:8f:&76g<6io1v97i:181[20k27>:7:8c:&76g<6j91v97m:181[20927>:7:81:&76g<6j;1v97?:181[21>27>:7:96:&76g<6j:1v96::181[21=27>:7:95:&76g<6j=1v88<:181[31;27>:7;93:&76g<6j<1v8:i:181[33n27>:7;;f:&76g<6j?1v8=?:181[35>27>:7;=6:&76g<6j01v8<j:181[35=27>:7;=5:&76g<6jh1v8<k:181[35<27>:7;=4:&76g<6jk1v8<l:181[35;27>:7;=3:&76g<6jj1v8<m:181[35:27>:7;=2:&76g<6jm1v8<n:181[35927>:7;=1:&76g<6jl1v8<6:181[35827>:7;=0:&76g<6jo1v8<7:181[36n27>:7;>f:&76g<6k91v8<8:181[36m27>:7;>e:&76g<6k81v8:<:181[34127>:7;<9:&76g<6k:1v8:>:181[34027>:7;<8:&76g<6k=1v8:?:181[34?27>:7;<7:&76g<6k<1v8=i:181[34>27>:7;<6:&76g<6k?1v8=j:181[34=27>:7;<5:&76g<6k>1v8=k:181[34<27>:7;<4:&76g<6k11v8=l:181[34;27>:7;<3:&76g<6k01v8=m:181[34:27>:7;<2:&76g<6kh1v8=n:181[34927>:7;<1:&76g<6kk1v9ji:181[2en27>:7:mf:&76g<6>o1v9jk:181[2em27>:7:me:&76g<6?91v9jm:181[2ek27>:7:mc:&76g<6?81v9jn:181[2ej27>:7:mb:&76g<6?;1v9j6:181[2ei27>:7:ma:&76g<6?:1v9j7:181[2e127>:7:m9:&76g<6?=1v9j8:181[2e027>:7:m8:&76g<6?<1v9j9:181[2e?27>:7:m7:&76g<6??1v9j::181[2e>27>:7:m6:&76g<6?11v9j;:181[2e=27>:7:m5:&76g<6?01v9j<:181[2e<27>:7:m4:&76g<6?h1v9j=:181[2e;27>:7:m3:&76g<6?k1v9j?:181[2e927>:7:m1:&76g<6?j1v9mi:181[2e827>:7:m0:&76g<6?m1v9mj:181[2fn27>:7:nf:&76g<6?l1v9mk:181[2fm27>:7:ne:&76g<6?o1v9ml:181[2fl27>:7:nd:&76g<6091v9mm:181[2fk27>:7:nc:&76g<6081v9mn:181[2fj27>:7:nb:&76g<60:1v9m6:181[2fi27>:7:na:&76g<60=1v9m7:181[2f127>:7:n9:&76g<60<1v9m8:181[2f027>:7:n8:&76g<60?1v9k9:181[2d=27>:7:l5:&76g<60>1v9k::181[2d<27>:7:l4:&76g<6011v9k;:181[2d;27>:7:l3:&76g<6001v9k<:181[2d:27>:7:l2:&76g<60h1v9k=:181[2d927>:7:l1:&76g<60k1v9k>:181[2d827>:7:l0:&76g<60j1v9k?:181[2el27>:7:md:&76g<60l1v9jl:181[2e:27>:7:m2:&76g<60o1v9j>:181[2f?27>:7:n7:&76g<6191v9m9:181[2f>27>:7:n6:&76g<6181v9kj:181[2bm27>:7:je:&76g<61;1v9k7:181[2b027>:7:j8:&76g<61:1v8;9:181[32>27>:7;:6:&76g<61<1v8?<:181[36;27>:7;>3:&76g<6111vqco>7;296~N3:j1vbl?7:181M25k2wem<750;0xL14d3tdj=l4?:3yK07e<ugk:n7>52zJ76f=zfh;h6=4={I61g>{ii8n1<7<tH50`?xhf9l0;6?uG43a8ykg6n3:1>vF;2b9~jd472909wE:=c:me77=838pD9<l;|lb67<72;qC8?m4}oc17?6=:rB?>n5rn`07>5<5sA>9o6saa3794?4|@=8h7p`n2783>7}O<;i0qco=7;296~N3:j1vbl<7:181M25k2wem?750;0xL14d3tdj>l4?:3yK07e<ugk9n7>52zJ76f=zfh8h6=4={I61g>{ii;n1<7<tH50`?xhf:l0;6?uG43a8ykg5n3:1>vF;2b9~jd572909wE:=c:me67=838pD9<l;|lb77<72;qC8?m4}oc07?6=:rB?>n5rn`17>5<5sA>9o6saa2794?4|@=8h7p`n3783>7}O<;i0qco<7;296~N3:j1vbl=7:181M25k2wem>750;0xL14d3tdj?l4?:3yK07e<ugk8n7>52zJ76f=zfh9h6=4={I61g>{ii:n1<7<tH50`?xhf;l0;6?uG43a8ykg4n3:1>vF;2b9~jd272909wE:=c:me17=838pD9<l;|lb07<72;qC8?m4}oc77?6=:rB?>n5rn`67>5<5sA>9o6saa5794?4|@=8h7p`n4783>7}O<;i0qco;7;296~N3:j1vbl:7:181M25k2wem9750;0xL14d3tdj8l4?:3yK07e<ugk?n7>52zJ76f=zfh>h6=4={I61g>{ii=n1<7<tH50`?xhf<l0;6?uG43a8ykg3n3:1>vF;2b9~jd372909wE:=c:me07=838pD9<l;|lb17<72;qC8?m4}oc67?6=:rB?>n5rn`77>5<5sA>9o6saa4794?4|@=8h7p`n5783>7}O<;i0qco:7;296~N3:j1vbl;7:181M25k2wem8750;0xL14d3tdj9l4?:3yK07e<ugk>n7>52zJ76f=zfh?h6=4={I61g>{ii<n1<7<tH50`?xhf=l0;6?uG43a8ykg2n3:1>vF;2b9~jd072909wE:=c:me37=838pD9<l;|lb27<72;qC8?m4}oc57?6=:rB?>n5rn`47>5<5sA>9o6saa7794?4|@=8h7p`n6783>7}O<;i0qco97;296~N3:j1vbl87:181M25k2wem;750;0xL14d3tdj:l4?:3yK07e<ugk=n7>52zJ76f=zfh<h6=4={I61g>{ii?n1<7<tH50`?xhf>l0;6?uG43a8ykg1n3:1>vF;2b9~jd172909wE:=c:me27=838pD9<l;|l;f6<728qC8?m4}o:g<?6=9rB?>n5rn9f:>5<6sA>9o6sa8ec94?7|@=8h7p`7dc83>4}O<;i0qc6kc;295~N3:j1vb5jk:182M25k2we4ik50;3xL14d3td3hk4?:0yK07e<ug2n<7>51zJ76f=zf1o:6=4>{I61g>{i0l81<7?tH50`?xh?m:0;6<uG43a8yk>b<3:1=vF;2b9~j=c2290:wE:=c:m<`0=83;pD9<l;|l;a2<728qC8?m4}o:f<?6=9rB?>n5rn9g:>5<6sA>9o6sa8dc94?7|@=8h7p`7ec83>4}O<;i0qc6jc;295~N3:j1vb5kk:182M25k2we4hk50;3xL14d3td3ik4?:0yK07e<ug2m<7>51zJ76f=zf1l:6=4>{I61g>{i0o81<7?tH50`?xh?n:0;6<uG43a8yk>a<3:1=vF;2b9~j=`2290:wE:=c:m<c0=83;pD9<l;|l;b2<728qC8?m4}o:e<?6=9rB?>n5rn9d:>5<6sA>9o6sa8gc94?7|@=8h7p`7fc83>4}O<;i0qc6ic;295~N3:j1vb5hk:182M25k2we4kk50;3xL14d3td3jk4?:0yK07e<ug3;<7>51zJ76f=zf0::6=4>{I61g>{i1981<7?tH50`?xh>8:0;6<uG43a8yk?7<3:1=vF;2b9~j<62290:wE:=c:m=50=83;pD9<l;|l:42<728qC8?m4}o;3<?6=9rB?>n5rn82:>5<6sA>9o6sa91c94?7|@=8h7p`60c83>4}O<;i0qc7?c;295~N3:j1vb4>k:182M25k2we5=k50;3xL14d3td2<k4?:0yK07e<ug3:<7>51zJ76f=zf0;:6=4>{I61g>{i1881<7?tH50`?xh>9:0;6<uG43a8yk?6<3:1=vF;2b9~j<72290:wE:=c:m=40=83;pD9<l;|l:52<728qC8?m4}o;2<?6=9rB?>n5rn83:>5<6sA>9o6sa90c94?7|@=8h7p`61c83>4}O<;i0qc7>c;295~N3:j1vb4?k:182M25k2we5<k50;3xL14d3td2=k4?:0yK07e<ug39<7>51zJ76f=zf08:6=4>{I61g>{i1;81<7?tH50`?xh>::0;6<uG43a8yk?5<3:1=vF;2b9~j<42290:wE:=c:m=70=83;pD9<l;|l:62<728qC8?m4}o;1<?6=9rB?>n5rn80:>5<6sA>9o6sa93c94?7|@=8h7p`62c83>4}O<;i0qc7=c;295~N3:j1vb4<k:182M25k2we5?k50;3xL14d3td2>k4?:0yK07e<ug38<7>51zJ76f=zf09:6=4>{I61g>{i1:81<7?tH50`?xh>;:0;6<uG43a8yk?4<3:1=vF;2b9~j<52290:wE:=c:m=60=83;pD9<l;|l:72<728qC8?m4}o;0<?6=9rB?>n5rn81:>5<6sA>9o6sa92c94?7|@=8h7p`63c83>4}O<;i0qc7<c;295~N3:j1vb4=k:182M25k2we5>k50;3xL14d3td2?k4?:0yK07e<ug3?<7>51zJ76f=zf0>:6=4>{I61g>{i1=81<7?tH50`?xh><:0;6<uG43a8yk?3<3:1=vF;2b9~j<22290:wE:=c:m=10=83;pD9<l;|l:02<728qC8?m4}o;7<?6=9rB?>n5rn86:>5<6sA>9o6sa95c94?7|@=8h7p`64c83>4}O<;i0qc7;c;295~N3:j1vb4:k:182M25k2we59k50;3xL14d3td28k4?:0yK07e<ug3><7>51zJ76f=zf0?:6=4>{I61g>{i1<81<7?tH50`?xh>=:0;6<uG43a8yk?2<3:1=vF;2b9~j<32290:wE:=c:m=00=83;pD9<l;|l:12<728qC8?m4}o;6<?6=9rB?>n5rn87:>5<6sA>9o6sa94c94?7|@=8h7p`65c83>4}O<;i0qc7:c;295~N3:j1vb4;k:182M25k2we58k50;3xL14d3td29k4?:0yK07e<ug3=<7>51zJ76f=zf0<:6=4>{I61g>{i1?81<7?tH50`?xh>>:0;6<uG43a8yk?1<3:1=vF;2b9~j<02290:wE:=c:m=30=83;pD9<l;|l:22<728qC8?m4}o;5<?6=9rB?>n5rn84:>5<6sA>9o6sa97c94?7|@=8h7p`66c83>4}O<;i0qc79c;295~N3:j1vb48k:182M25k2we5;k50;3xL14d3td2:k4?:0yK07e<ug3<<7>51zJ76f=zf0=:6=4>{I61g>{i1>81<7?tH50`?xh>?:0;6<uG43a8yk?0<3:1=vF;2b9~j<12290:wE:=c:m=20=83;pD9<l;|l:32<728qC8?m4}o;4<?6=9rB?>n5rn85:>5<6sA>9o6sa96c94?7|@=8h7p`67c83>4}O<;i0qc78c;295~N3:j1vb49k:182M25k2we5:k50;3xL14d3td2;k4?:0yK07e<ug33<7>51zJ76f=zf02:6=4>{I61g>{i1181<7?tH50`?xh>0:0;6<uG43a8yk??<3:1=vF;2b9~j<>2290:wE:=c:m==0=83;pD9<l;|l:<2<728qC8?m4}o;;<?6=9rB?>n5rn8::>5<6sA>9o6sa99c94?7|@=8h7p`68c83>4}O<;i0qc77c;295~N3:j1vb46k:182M25k2we55k50;3xL14d3td24k4?:0yK07e<ug32<7>51zJ76f=zf03:6=4>{I61g>{i1081<7?tH50`?xh>1:0;6<uG43a8yk?><3:1=vF;2b9~j<?2290:wE:=c:m=<0=83;pD9<l;|l:=2<728qC8?m4}o;:<?6=9rB?>n5rn8;:>5<6sA>9o6sa98c94?7|@=8h7p`69c83>4}O<;i0qc76c;295~N3:j1vb47k:182M25k2we54k50;3xL14d3td25k4?:0yK07e<ug3j<7>51zJ76f=zf0k:6=4>{I61g>{i1h81<7?tH50`?xh>i:0;6<uG43a8yk?f<3:1=vF;2b9~j<g2290:wE:=c:m=d0=83;pD9<l;|l:e2<728qC8?m4}o;b<?6=9rB?>n5rn8c:>5<6sA>9o6sa9`c94?7|@=8h7p`6ac83>4}O<;i0qc7nc;295~N3:j1vb4ok:182M25k2we5lk50;3xL14d3td2mk4?:0yK07e<ug3i<7>51zJ76f=zf0h:6=4>{I61g>{i1k81<7?tH50`?xh>j:0;6<uG43a8yk?e<3:1=vF;2b9~j<d2290:wE:=c:m=g0=83;pD9<l;|l:f2<728qC8?m4}o;a<?6=9rB?>n5rn8`:>5<6sA>9o6sa9cc94?7|@=8h7p`6bc83>4}O<;i0qc7mc;295~N3:j1vb4lk:182M25k2we5ok50;3xL14d3td2nk4?:0yK07e<ug3h<7>51zJ76f=zf0i:6=4>{I61g>{i1j81<7?tH50`?xh>k:0;6<uG43a8yk?d<3:1=vF;2b9~j<e2290:wE:=c:m=f0=83;pD9<l;|l:g2<728qC8?m4}o;`<?6=9rB?>n5rn8a:>5<6sA>9o6sa9bc94?7|@=8h7p`6cc83>4}O<;i0qc7lc;295~N3:j1vb4mk:182M25k2we5nk50;3xL14d3td2ok4?:0yK07e<ug3o<7>51zJ76f=zf0n:6=4>{I61g>{i1m81<7?tH50`?xh>l:0;6<uG43a8yk?c<3:1=vF;2b9~j<b2290:wE:=c:m=a0=83;pD9<l;|l:`2<728qC8?m4}o;g<?6=9rB?>n5rn8f:>5<6sA>9o6sa9ec94?7|@=8h7p`6dc83>4}O<;i0qc7kc;295~N3:j1vb4jk:182M25k2we5ik50;3xL14d3td2hk4?:0yK07e<ug3n<7>51zJ76f=zf0o:6=4>{I61g>{i1l81<7?tH50`?xh>m:0;6<uG43a8yk?b<3:1=vF;2b9~j<c2290:wE:=c:m=`0=83;pD9<l;|l:a2<728qC8?m4}o;f<?6=9rB?>n5rn8g:>5<6sA>9o6sa9dc94?7|@=8h7p`6ec83>4}O<;i0qc7jc;295~N3:j1vb4kk:182M25k2we5hk50;3xL14d3td2ik4?:0yK07e<ug3m<7>51zJ76f=zf0l:6=4>{I61g>{i1o81<7?tH50`?xh>n:0;6<uG43a8yk?a<3:1=vF;2b9~j<`2290:wE:=c:m=c0=83;pD9<l;|l:b2<728qC8?m4}o;e<?6=9rB?>n5rn8d:>5<6sA>9o6sa9gc94?7|@=8h7p`6fc83>4}O<;i0qc7ic;295~N3:j1vb4hk:182M25k2we5kk50;3xL14d3td2jk4?:0yK07e<ugk;<7>51zJ76f=zfh::6=4>{I61g>{ii981<7?tH50`?xhf8:0;6<uG43a8ykg7<3:1=vF;2b9~jd62290:wE:=c:me50=83;pD9<l;|lb42<728qC8?m4}oc3<?6=9rB?>n5rn`2:>5<6sA>9o6saa1c94?7|@=8h7p`n0c83>4}O<;i0qco?c;295~N3:j1vbl>k:182M25k2wem=k50;3xL14d3tdj<k4?:0yK07e<ugk:<7>51zJ76f=zfh;:6=4>{I61g>{ii881<7?tH50`?xhf9:0;6<uG43a8ykg6<3:1=vF;2b9~jd72290:wE:=c:me40=83;pD9<l;|~yEFDsj:o6nl;bg::7xFGJr:vLM^t}AB
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment