Commit 10895a83 authored by penacoba's avatar penacoba

Missing files from the acam test


git-svn-id: http://svn.ohwr.org/fmc-tdc@65 85dfdc96-de2c-444c-878d-45b388be74a9
parent 1216d1c6
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$44640<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1:BA4=6;2;%<<:4158JJUSS2HNO^L2>3;2=51=6<3CE\XZ5AEFQF974294:7?64220166479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=8720?>=<03235>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>;7082<2<>03===59984:23?>>?3=548=;7C7?3GFI11=MLONA@C24>0FIHKJMLONA@CBED`<>zfs88zigf6;0(553>L:75:483620>>3:8;0595601;1?<0?30<=5;766028=30>>03=:479974:5`=>2@D[YY4rne\ahvsqV~c~h}g<983:73<13CE\XZ5psmd[`kw|pUdk|h^cpw`ts410;2?;49;KMTPR=x{elShctx]wlwct`Vdnklzj<983:4b<13CE\XZ5psmd[cskdV~c~h}g<983:72<13CE\XZ5psmd[cskdV~c~h}g_`qpawr;03:5>956:HLSQQ<wzfmTjxbc_ujqavnXflmjxh27:1<2<>?=G\^[YY4kauc\gjsi|521<3?m;88LQQVR\3xoSio{a^alqkr;03:5=o56:NWWTPR={UomyoPcnwmp9>=8720MLON6@CB55=FIHKJMLO9A@CBEDG?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6Jl;ECWEZQNL]BTMn5KAUC\SLBS@VH97IG9;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;1<L@K7=:08;EKB84>9?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1<L@K7>808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1716:FJF969?2NBN1??>69GMG:697=0HDL313<4?AOE4895;6JFB=37:2=CAK6:9394DH@?53803MCI0<917:FJF97?6>1OEO2>9?48@LD;97=0HDL321<4?AOE4;;5;6JFB=01:2=CAK69?394DH@?61803MCI0?;17:FJF9416>1OEO2=7?58@LD;:14<7IGM<3;=2>BNJ585;6JFB=13:<=CAK68=7>17:FJF9566?1OEO2<>79GMG:36?1OEO2:>79GMG:16?1OEO28>79GMG:?6?1OEO26>69GMUG;87=0HD^N<0<4?AOWI58556JFP@>0>5803MC[M1=17:FJTG:76>1OE]L31?58@LVE4;427IG_B=194;1<L@ZI0>09;EMB85803MEJ0<>17:FLE9766>1OCL2>2?58@JG;9:4<7IAN<06=3>BHI5;>2:5KO@>22;1<LFK7=:08;EMB84>9?2NDM1?6>79GKD:66>1OCL2=0?58@JG;:84<7IAN<30=3>BHI5882:5KO@>10;1<LFK7>808;EMB8709?2NDM1<8>69GKD:507=0HBO328<5?AIF4;4<7IAN<22==>BHI59:6=08;EMB8679>2NDM1=16:FLE929>2NDM1;16:FLE909>2NDM1916:FLE9>9>2NDM1717:FLEZTBO?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HBLPRDE4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>39F06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=1M>K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[<4LH48HJGCMM=0@BIFC@N7?ISS:=1GYY=;;MWW01=K]]??7A[[649NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?;;O3361=I999?7C??459M55333G;;:95A1157?K770=1E==7<;O320>H699>0B<?>4:L2572<F8;886@>1568J472<2D:=;:4N0340>H691>0B<?63:L261=I9;:?7C?=159M57433G;9?95A1367?K75==1E=?8;;O3131=I9;2?7C?=929M562<F89;86@>3068J455<2D:?>:4N0170>H6;190B<:;;O3746=I9<90B<8;;O35=6=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<;1E9?5A729M<45<F1887C6<3:L;06=I0<90B58<;O:47>H?0:1E44<4N818J<643G3:?6@6229M=65<F0>87C7:3:L:26=I1>90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB92[j7_OBB04AS@T13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO44?VTQIEUJ;6]]V@N\F1=TQGI?7Y\ZE59W]UC4l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR9VS_JPPOVQ_1[XPFXT84Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR?VS_JPPOVQ_3[XPFXT8:Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR<VS_JPPOVQ_2[XPFXT8;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR=VS_JPPOVQ_=[XPFXT88Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS8WT[_Q_NUPX6XY_G[U<SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW<UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^2ZW^XT\CZ][4_\\JTX<VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY4WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX2XYPZVZEX_U8]^ZLVZ4XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY4YZQUWYD_^V6R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q:2^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]65Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U?5Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q;8^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]73Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY3>Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U?9Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW=SPWS]SJQT\9TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T1\]TVZVI\[Q9QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS=WT[_Q_NUPX1XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP9PQXR^RMPW]1UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U9]^UQ[UHSZR=VSUA]_3]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ5^[RTXXG^YW5SPXNP\5Zi592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\cf:76;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^22b>S7'nxm"h gbz-gim'{nT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR8>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P17]l7d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=;Q`12;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8=Tc>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]?UVxnhxmj_ymq[41Xg89m7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3;5Z~h494:?45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\5=Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P183\|j:768927X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3:[j5a3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T8\]qaasdmVrd~R<?1^zl8586;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P21]l7`=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT><?Pxn>3:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS??Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;8:Sua30?61?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV89=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ=2^m0a>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9?<Qwo=2=7<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>>Q`299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^:15>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"h gbz-gim'~xT|cz}_ckm8=8582_;#j|i.sd,cf~)keas#z|Ppovq[goiW98;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ=219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^114>S7'nxm"h gbz-gim'~xT|cz}_ckm[1473\:$kh!rg-dg}(ddbr${Qnup\flhX=;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU=>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR9=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>1^m0<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U?Sb=6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ2Xg8937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^7\k6?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS8Q`12g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW?;Ttb2?>01;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV<Tc>k4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]?UVxnhxmj_ymq[27Xpf6;2<=7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ1Xg:o0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_93\|j:768937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^:\k6b<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4?Pxn>3:6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4Q`299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^:1a>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2;>00f?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)khxyuck{<1<1a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=3=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:668887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<266=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:11328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr36a=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l6`=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l576<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j63=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTe9>4U1-dvc(un&mg<#|k/fpkb+ton&GfyuQ}al]ueiocW`dSJ@K_6;\kZKRPV;9Sb?>279V4*aun'xm#jb?.sf,cwna&{bm#ob_wcomaYc9:30Y=!hrg,qb*ak8'xo#j|gf/pkb*tfeV|j`djPiov\CKBX?0UdS@[W_00\k4b<]9%l~k }f.eo4+tc'{kfSjPeo]j515<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[3?XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP68]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY11VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_7;\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS;7Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z0>WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]5=ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ99^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>3:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^az8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\g|:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Ze~4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xkp6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vir080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Tot29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rmv<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>;:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^nvp979;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[iss4;48<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xd|~7?3=?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[k67:<Ugyy2;>228Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rbzt=7=75=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUe<=<:_mww838482_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\hpr;?79;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_o2360Yk}}632>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vf~x171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYi898>Sua}<0<04>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pxnp?6;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSc>?24]{kw:46::0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Z~hz5>5?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]m4542Wqey080<0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Ttb|36?13?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWg:;>8Qwos>4:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^zlv9>9;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[}iu4048?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@m`mqcq[Zh78:8Tot2?>218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-Ngjkwi{UTb=><2^az8484;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xkp692>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYXf9:8>Rmv<2<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySR`?020\g|:36:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~x1>1359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww8484<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~7>3=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXWg:;??Qcuu>0:62<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQPn1206Zjr|5>5?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZYi8999Sa{{dh17?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTSc>?33]oqqbn9:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~xig=359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww`l54<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~oe9==;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXf9:;9Rmv<1<06>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]`}979;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&Ghc`~nr^l3453Xkp692><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Snw33?11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTb=>?5^az8184;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_o2340Yk}}6;2>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Sa{{<0<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]oqq:56:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]m4562We0>0<3:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/LaliuguWg:;<8Qcuu>7:64<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQa0126[issl`987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Anabp`p\j567=Vf~xig>329V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVd;<=;Pltvgm7543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(Ejef|l|Pn1231Zjr|mc8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZh789?T`xzki563?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,[ZY_DGGTSR>P_^O`khvfzVd;<=;Pltvgm17<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_0]\[HeheykySc>?04]oqqbn9=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[7YXWDida}o}_o2340Yk}}nb>9?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!P_^ZOJHYXW:UTS@m`mqcq[k678<Ugyyjf3538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-\[Z^KFDUTS9QP_LaliuguWg:;<8Qcuufj017<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_4]\[HeheykySR`?020\hprca=80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[3YXWDida}o}_^l3464Xd|~oe<:=;T2,cw`)zo%l`= xr.etev(`xo$|~}h _^][HKKXWV=TSRClolrbvZYi8999Sa{{dh076>S7'nxm"h gm2-sw)`hy%k}h!wsre+ZYXPEDFSRQ7_^]Ngjkwi{UTb=><2^nvpao4<;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&UTSUBAM^]\=ZYXEjef|l|P_o2377Yk}}nb8?;4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Pn12067`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>;:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;978<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$b=>=5=0=62=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*h78;?7?3<8;T2,cw`)zo%l`= xr.etev(`xo$|~}h n1211929:>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&d;<?;35?04?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,j565=5<5>:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"`?037?3;403\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(f9:99161269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo492_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[wusxf~856[?/fpe*w`(oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX0:UdS@[W_06\k475m2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"no}rxlfp969:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#mnrs{maq:66;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:78n7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6494986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:72<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?07?P6(o{l%~k!hl1,tv*apiz$|y} c0-u59599;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:090=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:44b3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw8585m2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"no}rxlfp979:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:56;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;878?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=0=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28686::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=6=576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6;;1^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;TKCJP82]l[HS_W8>Tc?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~=299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k2`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\575<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Zebxf~956[?/fpe*w`(oe:%{!}al]tvZciW`;Toh~`t^KMRZ6502_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idycz30?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}6:2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp949:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{<2<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~783<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjq:26;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at=4=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|d0:0=8:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkr;07>;7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4:4:=9=4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^7?3Qmde20a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~P4PQHNE];5ZiXe|rT>RAZT=7=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dW5SPGOF\<4YhWdsS?Q@UU>6:45b3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byU7]^EM@Z>6WfUfyuQ=_NWW8384n2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczT8\]DJAY?9VeTaxvP2^MVP9099:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{atZ:^[BHCW1;TcRczx^0\KPR;?79m7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4>4:?h5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw_=[XOGNT4<Q`_lw{[7YH]]6329<4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^743Q\W104?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U;>n5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[5Ycxf~9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\57e<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexR?Pdqmw62=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dS?<l;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqY5Wmzdx?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ55k2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczP3^fskq403\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQ;2b9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsW=Uo|bz=7:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrX=;i0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^7\`uis:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_704?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U<>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[=4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=2=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?31?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=1<12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm;7?3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc95>5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7;=78i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g5909:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3?3;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=:=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn:S<<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc9V89m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`4Y4:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3\07g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj>_40b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=R8=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl8U<>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7X0;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18585j2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3>2:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=<3<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~Th?2<>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9090=b:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;6>2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZb54?49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7:06;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18=85i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3]36d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi<P13c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9S?<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc:V99m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7Y3:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e0\17g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=_70b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo>R9=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;U3>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;878i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7979:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1?6;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk3=1=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=34?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?1;12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm97:3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;5=5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;078j7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7Z65i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd2]26d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=P23c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn8S><n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;V>9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`6Y2:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1\27g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj<_60b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?R688:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid;m7X> gsd-vc)jmd%ln` {mq]qehYulVoe>=5Z0.eqb+ta'dof#jlb.uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!]SU]EQIJXOLD946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`44?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk23:8Q5)`zo$yj"||tcnh+btt|m;%k}{_gwoh+tt|Vn8>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4949o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;978h7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56;h0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ65j2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\57d<]9%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^01g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi30?0`?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2>>3`8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR>=b:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb5:=1^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz>c:W3+bta&{l$~~zmlj-tvZtt|Vidao?k;T2,cw`)zo%yylck.uq[wusWjefn<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo6:?ZYXPEDFSRQ>0^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6?2<=m;^]\\IHJWVU:=RQPU1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ5Xlye8i5P_^ZOJHYXW88TSR[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]45Z~h494:8h5P_^ZOJHYXW89TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=9m4_^][HKKXWV;?SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT:4Q`_lw{[7YH]]9:?o5P_^ZOJHYXW8?TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\5Zbwg}8m7RQPXMLN[ZY6>VUTY=!hrg,qb*kbe&mia#zbp^pbiZtcWld:8n5P_^ZOJHYXW8=TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P53]l[}i;87;?o6QP_YNMIZYX91UTSX> gsd-vc)`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768>i7RQPXMLN[ZY61VUTY=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP<PQXR^RMPW]6UVRD^R6Po^zl8586<k1TSRVCNL]\[76XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT>RaPxn>3:42d3VUTTA@B_^]15ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV?;SbQwo=2=51e<WVUS@CCP_^01[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<20g=XWVRGB@QP_31\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX>VeTtb2?>06a?ZYXPEDFSRQ=4^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>c:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~j2lbjbQ<2y7267?<n`ldS><w500/bl`hWnoeio{os]u2Z4+FFDN CAAE2f`?coagV99t8?=,gkekZabflxjxb|Pv7]1(~eX`hyTeczPcmi>4)eX`hyT}{=1.`[wbXn{oz1<"l_dlbficXzz~Tt`l=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk964+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>5)eXlfSzgkti?06)eXezmdbRxnl<3/gZtcWmo{xe3:13.`[`tug{Ubbgklthmm[qwm4:'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;281&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:9%iT|ah_gwohZrozlycSl}|esv\rdj:=93'obcoogmpZhfel7mekaP33z657*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0:#c^ofijt~W}s{i0?#}378bl`hW:8s9<<Pfhdl[bcim{kcQy6^0\|vrX9;l0jdh`_fgmawgsg{U}:R<# Ykomk~'KFXN,Jkaescwkw&6:'8?7k{cle9jjocd|mhbxh|}d:kmn`es{kci|8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586i2zycjQiumn\pmtb{aUj~k}t=3=5d=wzfmTjxbc_ujqavnXizyn~y2=>0c8twi`Wog`Rzgrdqk[dutm{~7?3?n;qplcZ`rdeUdk|h^cpw`ts4=4:m6~}of]eqijX|axneQnsrgqp9399h1{~biPftno[qnumzbTm~}jru>5:4g<x{elSk{cl^vkv`uoWhyxiz37?3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[dutm{~743?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki1>11`9svjaXn|fgSyf}erj\j`af|l6:2<o4psmd[cskdV~c~h}g_ogdeqc;:7;j7}|`g^dvhiYs`{oxdR`jg`vf8686i2zycjQiumn\pmtb{aUeijo{e=6=5d=wzfmTjxbc_ujqavnXflmjxh2:>0c8twi`Wog`Rzgrdqk[kc`i}o7:3?n;qplcZ`rdeUdk|h^lfcdrb4>4:o6~}of]eqijX|axneQaefcwa9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf8=813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;97jPd`vb[firf}636=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su34?qkw'Vil#kgio^11|075&gmd56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpb2g?EF=<>1J7:51zQ55?2b?3<1=><kb6`96=6djrd?894>;o671?0<,=>969=l;|Q6b?2b?3<1=><kb6`96=6dj2Y::>4;f383>455lk=i6?6?cb9P1c<3n;0;6<==dc5a>7>7kl1o8h750;395~U193>n;785120gf2d=:1:hn6x[1d194?7=93;2nv]91;6f3?0=9:8on:l5292`f>"3:l0::95Y45196~s6>j0:7x?9d;28y!7c:38:7o:j9;291g<42<hpD9<l;[74>1}5n39;6<>5d;'5`?=<l30(9:>:5gg?j32>3:17d;;f;29?j36;3:17d;93;29?j2bm3:17b:j8;29?l3203:17d;=6;29 4b32<8m7c?k3;28?l35=3:1(<j;:40e?k7c;3;07d;=4;29 4b32<8m7c?k3;08?l35;3:1(<j;:40e?k7c;3907d;=2;29 4b32<8m7c?k3;68?l3593:1(<j;:40e?k7c;3?07d;=0;29 4b32<8m7c?k3;48?l36n3:1(<j;:40e?k7c;3=07d;>e;29 4b32<8m7c?k3;:8?l3413:1(<j;:461?k7c;3:07d;<8;29 4b32<>97c?k3;38?l34?3:1(<j;:461?k7c;3807d;<6;29 4b32<>97c?k3;18?l34=3:1(<j;:461?k7c;3>07d;<4;29 4b32<>97c?k3;78?l34;3:1(<j;:461?k7c;3<07d;<2;29 4b32<>97c?k3;58?l3493:1(<j;:461?k7c;3207d;:1;29?j2en3:1(<j;:5ff?k7c;3:07b:me;29 4b32=nn7c?k3;38?j2ek3:1(<j;:5ff?k7c;3807b:mb;29 4b32=nn7c?k3;18?j2ei3:1(<j;:5ff?k7c;3>07b:m9;29 4b32=nn7c?k3;78?j2e03:1(<j;:5ff?k7c;3<07b:m7;29 4b32=nn7c?k3;58?j2e>3:1(<j;:5ff?k7c;3207b:m5;29 4b32=nn7c?k3;;8?j2e<3:1(<j;:5ff?k7c;3k07b:m3;29 4b32=nn7c?k3;`8?j2e93:1(<j;:5ff?k7c;3i07b:m0;29 4b32=nn7c?k3;f8?j2fn3:1(<j;:5ff?k7c;3o07b:ne;29 4b32=nn7c?k3;d8?j2fl3:1(<j;:5ff?k7c;3;;76a;ab83>!7c<3>oi6`>d2825>=h<hh1<7*>d587``=i9m91=?54o5cb>5<#9m>18ik4n0f0>45<3f>j57>5$0f7>1bb3g;o?7?;;:m7e=<72-;o87:ke:l2`6<6=21d8n;50;&2`1<3ll1e=i=51798k1e3290/=i:54eg8j4b428=07b:l3;29 4b32=nn7c?k3;3;?>i3k;0;6)?k4;6ga>h6l:0:565`4b394?"6l=0?hh5a1e195d=<g=i;6=4+1e690ac<f8n86<l4;n6a`?6=,8n?69jj;o3g7?7d32e?n?4?:%3g0?2cm2d:h>4>d:9l0d1=83.:h94;dd9m5a5=9l10c9o9:18'5a2=<mo0b<j<:0d8?l20m3:1(<j;:5;g?k7c;3:07d:8d;29 4b32=3o7c?k3;38?l20j3:1(<j;:5;g?k7c;3807d:8a;29 4b32=3o7c?k3;18?l2013:1(<j;:5;g?k7c;3>07d:88;29 4b32=3o7c?k3;78?l20?3:1(<j;:5;g?k7c;3<07d:86;29 4b32=3o7c?k3;58?l20=3:1(<j;:5;g?k7c;3207d:84;29 4b32=3o7c?k3;;8?l20;3:1(<j;:5;g?k7c;3k07d:82;29 4b32=3o7c?k3;`8?l2083:1(<j;:5;g?k7c;3i07d:9f;29 4b32=3o7c?k3;f8?l21m3:1(<j;:5;g?k7c;3o07d:9d;29 4b32=3o7c?k3;d8?l21k3:1(<j;:5;g?k7c;3;;76g;6c83>!7c<3>2h6`>d2825>=n<?k1<7*>d587=a=i9m91=?54i54:>5<#9m>184j4n0f0>45<3`>=47>5$0f7>1?c3g;o?7?;;:k722<72-;o87:6d:l2`6<6=21b85:50;&2`1<31m1e=i=51798m1>4290/=i:548f8j4b428=07d:72;29 4b32=3o7c?k3;3;?>o3080;6)?k4;6:`>h6l:0:565f49294?"6l=0?5i5a1e195d=<a==m6=4+1e690<b<f8n86<l4;h64g?6=,8n?697k;o3g7?7d32c?;<4?:%3g0?2>l2d:h>4>d:9j030=83.:h94;9e9m5a5=9l10e98::18'5a2=<0n0b<j<:0d8?l33<3:17o:=d;295?6=8rB?>n5+1d;907b<g8n:6=44}c33`?6=93:1<vF;2b9'5`?=99n0c<>l:188yg31290jn7?;5;3:f~N3:j1Q9:4>6z0`>7d=:m08=7<j:3d975<683n1??4<3;f96g<5k38o6?k52g804?5628:1??4<3;'5`?=<li0(8o54dc8 36=<lh0(<ml:0f3?l37?3:1(<j;:42;?k7c;3:07d;?6;29 4b32<:37c?k3;38?l37=3:1(<j;:42;?k7c;3807d;?4;29 4b32<:37c?k3;18?l37;3:1(<j;:42;?k7c;3>07d;?2;29 4b32<:37c?k3;78?l3793:1(<j;:42;?k7c;3<07d;?0;29 4b32<:37c?k3;58?l2an3:1(<j;:42;?k7c;3207d:i0;29?l3283:17d;:3;29?j32>3:17d:id;29 4b32=ln7c?k3;28?l2ak3:1(<j;:5df?k7c;3;07d:ib;29 4b32=ln7c?k3;08?l2ai3:1(<j;:5df?k7c;3907d:i9;29 4b32=ln7c?k3;68?l2a03:1(<j;:5df?k7c;3?07d:i7;29 4b32=ln7c?k3;48?l2a>3:1(<j;:5df?k7c;3=07d:i5;29 4b32=ln7c?k3;:8?l33n3:17b:i3;29?l3693:1(<j;:431?k7c;3:07d;>0;29 4b32<;97c?k3;38?l37n3:1(<j;:431?k7c;3807d;?e;29 4b32<;97c?k3;18?l37l3:1(<j;:431?k7c;3>07d;?c;29 4b32<;97c?k3;78?l37j3:1(<j;:431?k7c;3<07d;?a;29 4b32<;97c?k3;58?l3713:1(<j;:431?k7c;3207b::6;29?j21:3:1(<j;:540?k7c;3:07b:91;29 4b32=<87c?k3;38?j2183:1(<j;:540?k7c;3807b::f;29 4b32=<87c?k3;18?j22m3:1(<j;:540?k7c;3>07b::d;29 4b32=<87c?k3;78?j22k3:1(<j;:540?k7c;3<07b::b;29 4b32=<87c?k3;58?j22i3:1(<j;:540?k7c;3207b;>3;29?l31;3:17d;>c;29 4b32<;o7c?k3;28?l36j3:1(<j;:43g?k7c;3;07d;>a;29 4b32<;o7c?k3;08?l3613:1(<j;:43g?k7c;3907d;>8;29 4b32<;o7c?k3;68?l36?3:1(<j;:43g?k7c;3?07d;>6;29 4b32<;o7c?k3;48?l36=3:1(<j;:43g?k7c;3=07d;>4;29 4b32<;o7c?k3;:8?j2bm3:17b:j8;29?l3203:17d::8;29?l35>3:1(<j;:40e?k7c;3:07d;=5;29 4b32<8m7c?k3;38?l35<3:1(<j;:40e?k7c;3807d;=3;29 4b32<8m7c?k3;18?l35:3:1(<j;:40e?k7c;3>07d;=1;29 4b32<8m7c?k3;78?l3583:1(<j;:40e?k7c;3<07d;>f;29 4b32<8m7c?k3;58?l36m3:1(<j;:40e?k7c;3207d;<9;29 4b32<>97c?k3;28?l3403:1(<j;:461?k7c;3;07d;<7;29 4b32<>97c?k3;08?l34>3:1(<j;:461?k7c;3907d;<5;29 4b32<>97c?k3;68?l34<3:1(<j;:461?k7c;3?07d;<3;29 4b32<>97c?k3;48?l34:3:1(<j;:461?k7c;3=07d;<1;29 4b32<>97c?k3;:8?j22=3:17d:i1;29?l2213:17d;94;29?j32:3:17b;:7;29?l3293:17b:mf;29 4b32=nn7c?k3;28?j2em3:1(<j;:5ff?k7c;3;07b:mc;29 4b32=nn7c?k3;08?j2ej3:1(<j;:5ff?k7c;3907b:ma;29 4b32=nn7c?k3;68?j2e13:1(<j;:5ff?k7c;3?07b:m8;29 4b32=nn7c?k3;48?j2e?3:1(<j;:5ff?k7c;3=07b:m6;29 4b32=nn7c?k3;:8?j2e=3:1(<j;:5ff?k7c;3307b:m4;29 4b32=nn7c?k3;c8?j2e;3:1(<j;:5ff?k7c;3h07b:m1;29 4b32=nn7c?k3;a8?j2e83:1(<j;:5ff?k7c;3n07b:nf;29 4b32=nn7c?k3;g8?j2fm3:1(<j;:5ff?k7c;3l07b:nd;29 4b32=nn7c?k3;33?>i3ij0;6)?k4;6ga>h6l:0:=65`4``94?"6l=0?hh5a1e1957=<g=kj6=4+1e690ac<f8n86<=4;n6b=?6=,8n?69jj;o3g7?7332e?m54?:%3g0?2cm2d:h>4>5:9l0f3=83.:h94;dd9m5a5=9?10c9m;:18'5a2=<mo0b<j<:058?j2d;3:1(<j;:5ff?k7c;3;376a;c383>!7c<3>oi6`>d282=>=h<j;1<7*>d587``=i9m91=l54o5a3>5<#9m>18ik4n0f0>4d<3f>ih7>5$0f7>1bb3g;o?7?l;:m7f7<72-;o87:ke:l2`6<6l21d8l950;&2`1<3ll1e=i=51d98k1g1290/=i:54eg8j4b428l07d:8e;29 4b32=3o7c?k3;28?l20l3:1(<j;:5;g?k7c;3;07d:8b;29 4b32=3o7c?k3;08?l20i3:1(<j;:5;g?k7c;3907d:89;29 4b32=3o7c?k3;68?l2003:1(<j;:5;g?k7c;3?07d:87;29 4b32=3o7c?k3;48?l20>3:1(<j;:5;g?k7c;3=07d:85;29 4b32=3o7c?k3;:8?l20<3:1(<j;:5;g?k7c;3307d:83;29 4b32=3o7c?k3;c8?l20:3:1(<j;:5;g?k7c;3h07d:80;29 4b32=3o7c?k3;a8?l21n3:1(<j;:5;g?k7c;3n07d:9e;29 4b32=3o7c?k3;g8?l21l3:1(<j;:5;g?k7c;3l07d:9c;29 4b32=3o7c?k3;33?>o3>k0;6)?k4;6:`>h6l:0:=65f47c94?"6l=0?5i5a1e1957=<a=<26=4+1e690<b<f8n86<=4;h65<?6=,8n?697k;o3g7?7332c?::4?:%3g0?2>l2d:h>4>5:9j0=2=83.:h94;9e9m5a5=9?10e96<:18'5a2=<0n0b<j<:058?l2?:3:1(<j;:5;g?k7c;3;376g;8083>!7c<3>2h6`>d282=>=n<1:1<7*>d587=a=i9m91=l54i55e>5<#9m>184j4n0f0>4d<3`><o7>5$0f7>1?c3g;o?7?l;:k734<72-;o87:6d:l2`6<6l21b8;850;&2`1<31m1e=i=51d98m102290/=i:548f8j4b428l07d::7;29?j33l3:1(<j;:46f?k7c;3:07b;;c;29 4b32<>n7c?k3;38?j33j3:1(<j;:46f?k7c;3807b;;a;29 4b32<>n7c?k3;18?j3313:1(<j;:46f?k7c;3>07b;;8;29 4b32<>n7c?k3;78?j33?3:1(<j;:46f?k7c;3<07b;;6;29 4b32<>n7c?k3;58?j33=3:1(<j;:46f?k7c;3207b;91;29 4b32<<97c?k3;28?j3183:1(<j;:441?k7c;3;07b;:f;29 4b32<<97c?k3;08?j32m3:1(<j;:441?k7c;3907b;:d;29 4b32<<97c?k3;68?j32k3:1(<j;:441?k7c;3?07b;:b;29 4b32<<97c?k3;48?j32i3:1(<j;:441?k7c;3=07b;:9;29 4b32<<97c?k3;:8?j2a<3:17d:jf;29?j21<3:17d;;4;29?j32=3:17o:<f;295?6=8r.:i44>0e9K06c<@=8h7b??c;29?xd3<90;6<4?:1y'5`?=<;n0D9=j;I61g>i6l80;66sm2d594?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg4b>3:187>50z&2a<<68h1C8>k4H50`?!76l3?0e8650;9j27<722c:h;4?::m2`2<722wi>h750;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb2`3>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd4io0;694?:1y'5`?=99k0D9=j;I61g>"69m0>7d;7:188m34=831b=i850;9l5a1=831vn9?=:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c616?6=;3:1<v*>e88242=O<:o0D9<l;I76?!71i3;n46*>1e82?l3?2900e;<50;9l5a1=831vn9<8:180>5<7s-;n57??7:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n>;0;66a>d683>>{e<8?1<7:50;2x 4c>28:j7E:<e:J76f=O=<1/=;o51d:8 47c2<1b954?::k56?6=3`;o:7>5;n3g3?6=3th?><4?:283>5}#9l31==94H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l052900c<j8:188yg25>3:1?7>50z&2a<<68>1C8>k4H50`?M323-;=m7?j8:&25a<63`?36=44i7094?=h9m=1<75rb537>5<3290;w)?j9;33e>N3;l1C8?m4$04b>4c?3A?>7)?>d;78m0>=831b:?4?::k2`3<722e:h:4?::a04d=83>1<7>t$0g:>46f3A>8i6F;2b9'54b==2c>47>5;h41>5<<a8n=6=44o0f4>5<<uk>:m7>54;294~"6m00:<l5G42g8L14d3-;:h7;4i4:94?=n>;0;66g>d783>>i6l>0;66sm40;94?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg2583:197>50z&2a<<68k1C8>k4H50`?M323-;=m7?j8:k6<?6=3`?26=44i7094?=n9m<1<75`1e594?=zj=;m6=4;:183!7b13;;m6F;3d9K07e<,8;o685f5983>>o1:3:17d?k6;29?j7c?3:17pl;1d83>1<729q/=h7511c8L15b3A>9o6*>1e86?l3?2900e;<50;9j5a0=831d=i950;9~f174290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;%32`?3<a<21<75f6383>>o6l?0;66a>d683>>{e;1n1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd40j0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg5?j3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f6>f290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a7=c=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`0e5<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c1:b?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb2;f>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm38f94?2=83:p(<k6:04a?M24m2B?>n5f5c83>>o1=3:17d?j0;29?j7dn3:17pl<a083>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo<l3;290?6=8r.:i44>6c9K06c<@=8h7d;m:188m33=831b=h>50;9l5f`=831vn?m=:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi>n?50;694?6|,8o26<8m;I60a>N3:j1b9o4?::k51?6=3`;n<7>5;n3`b?6=3th9o=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk8h87>54;294~"6m00::o5G42g8L14d3`?i6=44i7794?=n9l:1<75`1bd94?=zj;n=6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e:m?1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd5l=0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg4c;3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f7b0290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a775=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm33094?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi???50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;;:1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74`=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30g94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<j50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;8i1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74d=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30394?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<>50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9l1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75c=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31f94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?=m50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9h1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75g=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31;94?3=83:p(<k6:02a?M24m2B?>n5+10f91>o203:17d;6:188m34=831b=i850;9l5a1=831vn>:<:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?9650;194?6|,8o26<8:;I60a>N3:j1/=<j5279'5a3=;?<0e<>i:188m4772900c<mi:188yg53l3:1?7>50z&2a<<6><1C8>k4H50`?!76l330(<j::245?l77n3:17d?>0;29?j7dn3:17pl<4383>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=;7;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39=96g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;=i1<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d48020=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb262>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm35494?3=83:p(<k6:044?M24m2B?>n5+10f962=#9m?1?;:4i02e>5<<a8;;6=44i032>5<<a8;96=44o0ae>5<<uk9?n7>55;294~"6m00:::5G42g8L14d3-;:h7:4$0f6>6033`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`005<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c171?6==3:1<v*>e88222=O<:o0D9<l;%32`?403-;o97=93:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?9o50;794?6|,8o26<88;I60a>N3:j1/=<j54:&2`0<4>:1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f65a290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a712=83?1<7>t$0g:>4003A>8i6F;2b9'54b=:>1/=i;53708m46a2900e<??:188m4762900e<?=:188k4ea2900qo=;9;291?6=8r.:i44>669K06c<@=8h7)?>d;68 4b22:<97d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd4=;0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg52?3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7)?k5;155>o68o0;66g>1183>>i6ko0;66sm34a94?5=83:p(<k6:046?M24m2B?>n5+10f9=>"6l<08:<5f11d94?=n98:1<75`1bd94?=zj:?:6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e;<<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>:5+1e79736<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c16f?6==3:1<v*>e88222=O<:o0D9<l;%32`?2<,8n>6>8?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th89=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk9>97>55;294~"6m00:::5G42g8L14d3-;:h7<8;%3g1?52n2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a70g=83?1<7>t$0g:>4003A>8i6F;2b9'54b=<2.:h84<5g9j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>:i:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?8:50;794?6|,8o26<88;I60a>N3:j1/=<j5269'5a3=;<o0e<>i:188m4772900e<?>:188m4752900c<mi:188yg5213:197>50z&2a<<6>>1C8>k4H50`?!76l3>0(<j::27f?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<4d83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=:3;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39>h6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;<21<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d4801a=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb21f>5<4290;w)?j9;60`>N3;l1C8?m4i4`94?=n9?81<75`1bd94?=zj:9o6=4<:183!7b13>8h6F;3d9K07e<a<h1<75f17094?=h9jl1<75rb20`>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm32a94?5=83:p(<k6:51g?M24m2B?>n5f5c83>>o6>;0;66a>cg83>>{e;;h1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd4;k0;6>4?:1y'5`?=<:n0D9=j;I61g>o2j3:17d?92;29?j7dn3:17pl<2`83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=<a;297?6=8r.:i44;3e9K06c<@=8h7d;m:188m4052900c<mi:188yg5513:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f65>29086=4?{%3f=?24l2B??h5G43a8m0d=831b=;<50;9l5f`=831vn><7:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?>650;194?6|,8o269=k;I60a>N3:j1b9o4?::k227<722e:ok4?::a771=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`072<72:0;6=u+1d;906b<@=9n7E:=c:k6f?6=3`;=>7>5;n3`b?6=3th8>;4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk98:7>53;294~"6m00??i5G42g8L14d3`?i6=44i041>5<<g8im6=44}c111?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb207>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm36;94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f61?290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk9<;7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl<7783>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a723=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj:=?6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo=83;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`037<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e;>;1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn9>l:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:i6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f16f290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb52:>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>7:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:<6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f161290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb526>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>;:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:lj6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`>290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d;>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h8:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l=6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`2290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d7>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h<:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l96=4::183!7b13;;n6F;3d9K07e<,8;o685f5983>>o213:17d8=:188m4b12900c<j8:188yg5b03:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f3?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j6;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n97>55;294~"6m00:<45G42g8L14d3-;:h7?4i4:94?=n=00;66g:e;29?l052900c<j8:188yg5b<3:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f7?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j2;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n=7>55;294~"6m00:<o5G42g8L14d3-;:h7;4i4:94?=n=00;66g92;29?l7c>3:17b?k7;29?xd4m90;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`3<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<d483>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8h94?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4l;0;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`5<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<cd83>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8on4?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4kh0;684?:1y'5`?=99h0D9=j;I61g>"69m0>7d;7:188m0?=831b:?4?::k2`3<722e:h:4?::a7f>=83?1<7>t$0g:>46e3A>8i6F;2b9'54b==2c>47>5;h7:>5<<a?81<75f1e494?=h9m=1<75rb357>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg40;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9;?4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm26394?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f717290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8=j7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=6d83>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a63b=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;<h6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<>b;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`15d<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:831<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn??7:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c023?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd59?0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi><;50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb337>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg46;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9no4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm2cc94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7d>290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8i47>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=b683>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6g0=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;h>6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<m4;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1f6<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:?81<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?8>:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c054?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5=o0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>8k50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb37g>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg42k3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th99o4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm24c94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7??290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd51>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;3=6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9584?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?7;:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=9283>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb3;1>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1=4<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f7?7290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;o0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;9n6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9?i4?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?=l:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=3c83>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb31b>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`17<<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f75?290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;8o6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<=c;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`16g<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:;k1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?<6:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c01<?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5:>0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>?850;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb306>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg4?>3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9484?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm29694?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7>4290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk83>7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=8083>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6=6=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;=m6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<8e;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3`;;j7>5;h324?6=3f;hj7>5;|`1a=<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3-;o97:;c:k24c<722c:==4?::m2gc<722wi?o?50;194?6|,8o26<8:;I60a>N3:j1/=<j59:k24c<722c:==4?::m2gc<722wi8=h50;694?6|,8o26<89;I60a>N3:j1/=<j5589'5a3=<=i0e<>i:188m4772900e<?>:188k4ea2900qo<j4;291?6=8r.:i44>669K06c<@=8h7)?>d;331>o68o0;66g>1183>>o6980;66g>1383>>i6ko0;66sm39794?0=83:p(<k6:04;?M24m2B?>n5+10f954=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj=8?6=4<:183!7b13;=96F;3d9K07e<,8;o6<<4i02e>5<<a8;;6=44o0ae>5<<uk>9m7>53;294~"6m00::85G42g8L14d3-;:h7?=;h33b?6=3`;:<7>5;n3`b?6=3th85;4?:483>5}#9l31=;94H51f?M25k2.:=i4=7:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?4:50;794?6|,8o26<88;I60a>N3:j1/=<j5269j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>7=:186>5<7s-;n57?97:J77`=O<;i0(<?k:358m46a2900e<??:188m4762900e<?=:188k4ea2900qo=60;291?6=8r.:i44>669K06c<@=8h7)?>d;04?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<9983>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm3`;94?3=83:p(<k6:044?M24m2B?>n5+10f960=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb2c4>5<2290;w)?j9;353>N3;l1C8?m4$03g>73<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c1b1?6==3:1<v*>e88222=O<:o0D9<l;%32`?423`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`0e6<72<0;6=u+1d;9531<@=9n7E:=c:&25a<5=2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a7dd=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f7ed290>6=4?{%3f=?71?2B??h5G43a8 47c2;?0e<>i:188m4772900e<?>:188m4752900c<mi:188yg4di3:197>50z&2a<<6>>1C8>k4H50`?!76l38>7d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd5k10;684?:1y'5`?=9?=0D9=j;I61g>"69m0996g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:j<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>85f11d94?=n98:1<75f10394?=n9881<75`1bd94?=zj;in6=4<:183!7b13;=96F;3d9K07e<,8;o6?84i02e>5<<a8;;6=44o0ae>5<<uk8oj7>55;294~"6m00:::5G42g8L14d3-;:h7<;;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th9hi4?:483>5}#9l31=;94H51f?M25k2.:=i4=4:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>il50;794?6|,8o26<88;I60a>N3:j1/=<j5249j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn?j6:186>5<7s-;n57?97:J77`=O<;i0(<?k:368m46a2900e<??:188m4762900e<?=:188k4ea2900qo<j1;297?6=8r.:i44>649K06c<@=8h7)?>d;05?l77n3:17d?>0;29?j7dn3:17pl=fb83>1<729q/=h751748L15b3A>9o6*>1e816>o68o0;66g>1183>>o6980;66a>cg83>>{e;9<1<7950;2x 4c>28<27E:<e:J76f=#98n1?85f11d94?=n98:1<75f10394?=n9881<75f10194?=n98>1<75`1bd94?=zj::?6=49:183!7b13;=46F;3d9K07e<,8;o6>:4i02e>5<<a8;;6=44i032>5<<a8;96=44i030>5<<g8im6=44}c0f`?6=;3:1<v*>e88220=O<:o0D9<l;%32`?7>3-;o97:;a:k24c<722c:==4?::m2gc<722wi>hk50;694?6|,8o26<89;I60a>N3:j1/=<j51e9'5a3=<=k0e<>i:188m4772900e<?>:188k4ea2900qo=me;290?6=8r.:i44>679K06c<@=8h7)?>d;01?l77n3:17d?>0;29?l7693:17b?lf;29?xd4k<0;6:4?:1y'5`?=9?30D9=j;I61g>"69m0896g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>cg83>>{e;j91<7850;2x 4c>28<37E:<e:J76f=#98n1?95f11d94?=n98:1<75f10394?=n9881<75f10194?=h9jl1<75rb36b>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<a8:m6=44i033>5<<g8im6=44}c07g?6=<3:1<v*>e88223=O<:o0D9<l;%32`?4?3-;o97:;9:k24c<722c:==4?::k254<722e:ok4?::a6d>=8391<7>t$0g:>4023A>8i6F;2b9'54b=901b==h50;9j546=831d=nh50;9~f7gf290?6=4?{%3f=?71>2B??h5G43a8 47c2;20(<j::56;?l77n3:17d?>0;29?l7693:17b?lf;29?xd6n00;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d48715=n99l1<75f10294?=h9jl1<75rb0d;>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69;?;h33b?6=3`;:<7>5;n3`b?6=3th:j:4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3==1b==h50;9j546=831d=nh50;9~f4`129086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::577?l77n3:17d?>0;29?j7dn3:17pl>f483>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9>5f11d94?=n98:1<75`1bd94?=zj8l?6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1343`;;j7>5;h324?6=3f;hj7>5;|`2b6<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4g9j55`=831b=<>50;9l5f`=831vn<h=:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>m7d??f;29?l7683:17b?lf;29?xd6n80;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d4870`=n99l1<75f10294?=h9jl1<75rb0d3>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69:j;h33b?6=3`;:<7>5;n3`b?6=3th:ik4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3=;1b==h50;9j546=831d=nh50;9~f4cb29086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::571?l77n3:17d?>0;29?j7dn3:17pl>ee83>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9<5f11d94?=n98:1<75`1bd94?=zj8oh6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1363`;;j7>5;h324?6=3f;hj7>5;|`2ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4e9j55`=831b=<>50;9l5f`=831vn<kn:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>o7d??f;29?l7683:17b?lf;29?xd5<m0;684?:1y'5`?=9?=0D9=j;I61g>"69m0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:=l1<7850;2x 4c>28<37E:<e:J76f=#98n1>45+1e7901?<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o0ae>5<<uk8>=7>57;294~"6m00::45G42g8L14d3-;:h7?l;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th9mo4?:483>5}#9l31=;94H51f?M25k2.:=i4>b:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>lj50;494?6|,8o26<87;I60a>N3:j1/=<j5289'5a3=<=20e<>i:188m4772900e<?>:188m4752900e<?<:188k4ea2900qo<nf;293?6=8r.:i44>689K06c<@=8h7)?>d;3`?l77n3:17d?>0;29?l7693:17d?>2;29?l76;3:17d?>4;29?j7dn3:17pl<0983>6<729q/=h751778L15b3A>9o6*>1e817>o68o0;66g>1183>>i6ko0;66sm31594?1=83:p(<k6:04:?M24m2B?>n5+10f970=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb2a4>5<4290;w)?j9;351>N3;l1C8?m4$03g>75<a8:m6=44i033>5<<g8im6=44}c1`2?6=?3:1<v*>e8822<=O<:o0D9<l;%32`?523`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;hj7>5;|`11=<72>0;6=u+1d;953?<@=9n7E:=c:&25a<6k2c:<k4?::k255<722c:=<4?::k257<722c:=>4?::k251<722e:ok4?::a6d3=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=9j1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f734290<6=4?{%3f=?7112B??h5G43a8 47c28i0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg42>3:187>50z&2a<<6>?1C8>k4H50`?!76l3;j7d??f;29?l7683:17d?>1;29?j7dn3:17pl=5483>2<729q/=h7517;8L15b3A>9o6*>1e81e>o68o0;66g>1183>>o6980;66g>1383>>o69:0;66g>1583>>i6ko0;66sm2c394?1=83:p(<k6:04:?M24m2B?>n5+10f95f=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb3c0>5<3290;w)?j9;352>N3;l1C8?m4$03g>4g<a8:m6=44i033>5<<a8;:6=44o0ae>5<<uk8j>7>57;294~"6m00::45G42g8L14d3-;:h7<n;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th?>54?:583>5}#9l31=;84H51f?M25k2.:=i4>019j55`=831b=<>50;9j547=831d=nh50;9~f142290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;h7;>5<<a?81<75f1e494?=h9m=1<75rb2:0>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd40=0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0j7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?j7dn3:17pl<2e83>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm33g94?5=83:p(<k6:046?M24m2B?>n5+10f963=n99l1<75f10294?=h9jl1<75rb20e>5<4290;w)?j9;351>N3;l1C8?m4$03g>70<a8:m6=44i033>5<<g8im6=44}c104?6=;3:1<v*>e88220=O<:o0D9<l;%32`?413`;;j7>5;h324?6=3f;hj7>5;|`074<72:0;6=u+1d;9533<@=9n7E:=c:&25a<5>2c:<k4?::k255<722e:ok4?::a764=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f65429086=4?{%3f=?71=2B??h5G43a8 47c2;<0e<>i:188m4772900c<mi:188yg54<3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7d??f;29?l7683:17b?lf;29?xd4;<0;6>4?:1y'5`?=9??0D9=j;I61g>"69m09:6g>0g83>>o6990;66a>cg83>>{e:on1<7;50;2x 4c>28<<7E:<e:J76f=#98n1=h5+1e79010<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c134?6=>3:1<v*>e8822==O<:o0D9<l;%32`?7a3-;o97:;6:k24c<722c:==4?::k254<722c:=?4?::k256<722e:ok4?::a754=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=:91b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f6e6290<6=4?{%3f=?7112B??h5G43a8 47c2;:0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg5e:3:1?7>50z&2a<<6><1C8>k4H50`?!76l330e<>i:188m4772900c<mi:188yg5c;3:187>50z&2a<<6>?1C8>k4H50`?!76l3;;<6g>0g83>>o6990;66g>1083>>i6ko0;66sm3b;94?5=83:p(<k6:046?M24m2B?>n5+10f95<=#9m?189l4i02e>5<<a8;;6=44o0ae>5<<uk9o=7>57;294~"6m00::45G42g8L14d3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th8oi4?:483>5}#9l31=;94H51f?M25k2.:=i4>e:&2`0<3<>1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f6ee290?6=4?{%3f=?71>2B??h5G43a8 47c28n0(<j::56a?l77n3:17d?>0;29?l7693:17b?lf;29?xd4ko0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0:j6*>d48702=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj;oh6=4=:183!7b13;==6F;3d9K07e<a8:n6=44o0ae>5<<uk9i87>52;294~"6m00::<5G42g8L14d3`;;i7>5;n3`b?6=3th?<i4?:ca94?6|,8o26<j7;I60a>N3:j1Q9:4l{01950<b2>036n4>7;37>4>=n3;=6o4rn71961=i>=0986`k3;28jc3=82.:<>4>079'552=9l20(<k::39'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<k53:&25c<43-;9<7=4$002>6=#9;81?6*>2280?!75<390(<<::29'570=;2.:>:4<;%31<?5<,8826>5+13c97>"6:k087)?=c;18 44c2:1/=?k53:&26c<43-;8<7=4$012>6=#9:81?6*>3280?!74<390(<=::29'560=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=;>51bg8 4bc28o<7)?ke;7:?!7cn3?27)?j1;77?!7b:3;n86*>5d81?!72n380(9=n:51:?!24j3>856*>e781?l7c13:17d;l:188m4652900e<jl:188m0b=831b=io50;9j5ad=831b==?50;9jef<72-;o87om;o3g7?6<3`kj6=4+1e69eg=i9m91=65fa883>!7c<3ki7c?k3;08?lg?290/=i:5ac9m5a5=;21b8>=50;&2`1<3;;1e=i=50:9j067=83.:h94;339m5a5=921b8>>50;&2`1<3;;1e=i=52:9j07`=83.:h94;339m5a5=;21doo4?:%3g0?ef3g;o?7>4;na:>5<#9m>1ol5a1e195>=hk>0;6)?k4;ab?k7c;3807bm9:18'5a2=kh1e=i=53:9lg0<72-;o87mn;o3g7?2<3fi?6=4+1e69gd=i9m91965`c283>!7c<3ij7c?k3;48?je5290/=i:5c`9m5a5=?21do<4?:%3g0?ef3g;o?764;na3>5<#9m>1ol5a1e19=>=hjo0;6)?k4;ab?k7c;3k07blj:18'5a2=kh1e=i=5b:9lff<72-;o87mn;o3g7?e<3fhi6=4+1e69gd=i9m91h65`b`83>!7c<3ij7c?k3;g8?jd>290/=i:5c`9m5a5=n21dn54?:%3g0?ef3g;o?7??;:ma3?6=,8n?6no4n0f0>47<3fh=6=4+1e69gd=i9m91=?54oc794?"6l=0hm6`>d2827>=hj=0;6)?k4;ab?k7c;3;?76am3;29 4b32jk0b<j<:078?jb5290/=i:5c`9m5a5=9?10ci?50;&2`1<di2d:h>4>7:9l`5<72-;o87mn;o3g7?7?32ehj7>5$0f7>fg<f8n86<74;naf>5<#9m>1ol5a1e195d=<gjn1<7*>d58`e>h6l:0:n65`cb83>!7c<3ij7c?k3;3`?>id03:1(<j;:bc8j4b428n07blk:18'5a2=kh1e=i=51d98kg4=83.:h94la:l2`6<6n21b?o4?:%3g0?5f3g;o?7>4;h1:>5<#9m>1?l5a1e195>=n;10;6)?k4;1b?k7c;3807d=8:18'5a2=;h1e=i=53:9j01<72-;o87=n;o3g7?2<3`>86=4+1e697d=i9m91965f4383>!7c<39j7c?k3;48?l26290/=i:53`9m5a5=?21b8=4?:%3g0?5f3g;o?764;h1e>5<#9m>1?l5a1e19=>=n;l0;6)?k4;1b?k7c;3k07d=k:18'5a2=;h1e=i=5b:9j7f<72-;o87=n;o3g7?e<3`9=6=4+1e697d=i9m91h65f4`83>!7c<3>27c?k3;28?l2?290/=i:5489m5a5=921b8:4?:%3g0?2>3g;o?7<4;h65>5<#9m>1845a1e197>=n=:0;6)?k4;6:?k7c;3>07d;=:18'5a2=<01e=i=55:9j14<72-;o87:6;o3g7?0<3`?;6=4+1e690<=i9m91;65f4g83>!7c<3>27c?k3;:8?l2b290/=i:5489m5a5=121b8i4?:%3g0?2>3g;o?7o4;h6`>5<#9m>1845a1e19f>=n<k0;6)?k4;6:?k7c;3i07d:::18'5a2=<01e=i=5d:9je5<72-;o877i;o3g7?6<3`3n6=4+1e69=c=i9m91=65f9b83>!7c<33m7c?k3;08?l?e290/=i:59g9m5a5=;21b5l4?:%3g0??a3g;o?7:4;h;:>5<#9m>15k5a1e191>=n110;6)?k4;;e?k7c;3<07d78:18'5a2=1o1e=i=57:9j=3<72-;o877i;o3g7?><3`3>6=4+1e69=c=i9m91565f9583>!7c<33m7c?k3;c8?l?4290/=i:59g9m5a5=j21b5<4?:%3g0??a3g;o?7m4;h;3>5<#9m>15k5a1e19`>=n0o0;6)?k4;;e?k7c;3o07d6j:18'5a2=1o1e=i=5f:9j<a<72-;o877i;o3g7?7732c3o7>5$0f7><`<f8n86<?4;h:a>5<#9m>15k5a1e1957=<a1k1<7*>d58:b>h6l:0:?65f8883>!7c<33m7c?k3;37?>o?03:1(<j;:8d8j4b428?07do8:18'5a2=1o1e=i=51798md0=83.:h946f:l2`6<6?21bm84?:%3g0??a3g;o?7?7;:kb0?6=,8n?64h4n0f0>4?<3`k86=4+1e69=c=i9m91=l54i`094?"6l=02j6`>d282f>=ni80;6)?k4;;e?k7c;3;h76g6d;29 4b320l0b<j<:0f8?l?5290/=i:59g9m5a5=9l10e5950;&2`1<>n2d:h>4>f:9lbd<72-;o87h6;o3g7?6<3fl36=4+1e69b<=i9m91=65`f683>!7c<3l27c?k3;08?j`1290/=i:5f89m5a5=;21bn<4?:%3g0?d73g;o?7>4;hce>5<#9m>1n=5a1e195>=nil0;6)?k4;`3?k7c;3807dok:18'5a2=j91e=i=53:9lbc<72-;o87hj;o3g7?6<3flo6=4+1e69b`=i9m91=65`fb83>!7c<3ln7c?k3;08?j`e290/=i:5fd9m5a5=;21dii4?:%3g0?cd3g;o?7>4;nga>5<#9m>1in5a1e195>=hm00;6)?k4;g`?k7c;3807bk7:18'5a2=mj1e=i=53:9la2<72-;o87kl;o3g7?2<3fo=6=4+1e69af=i9m91965`e483>!7c<3oh7c?k3;48?jc3290/=i:5eb9m5a5=?21di>4?:%3g0?cd3g;o?764;ng1>5<#9m>1in5a1e19=>=hm80;6)?k4;g`?k7c;3k07bk?:18'5a2=mj1e=i=5b:9l``<72-;o87kl;o3g7?e<3fno6=4+1e69af=i9m91h65`db83>!7c<3oh7c?k3;g8?jbe290/=i:5eb9m5a5=n21dhl4?:%3g0?cd3g;o?7??;:mg=?6=,8n?6hm4n0f0>47<3fn36=4+1e69af=i9m91=?54oe594?"6l=0no6`>d2827>=hl?0;6)?k4;g`?k7c;3;?76ak5;29 4b32li0b<j<:078?j`3290/=i:5eb9m5a5=9?10ck=50;&2`1<bk2d:h>4>7:9lb7<72-;o87kl;o3g7?7?32em=7>5$0f7>`e<f8n86<74;nd3>5<#9m>1in5a1e195d=<gll1<7*>d58fg>h6l:0:n65`ed83>!7c<3oh7c?k3;3`?>ibi3:1(<j;:da8j4b428n07bji:18'5a2=mj1e=i=51d98ka2=83.:h94jc:l2`6<6n21b8>650;&2`1<3;>1e=i=50:9j060=83.:h94;369m5a5=921b8>;50;&2`1<3;>1e=i=52:9j062=83.:h94;369m5a5=;21b;k4?:%3g0?1b3g;o?7>4;h5g>5<#9m>1;h5a1e195>=n?k0;6)?k4;5f?k7c;3807d9n:18'5a2=?l1e=i=53:9j3<<72-;o879j;o3g7?2<3`=36=4+1e693`=i9m91965f7683>!7c<3=n7c?k3;48?l11290/=i:57d9m5a5=?21b;84?:%3g0?1b3g;o?764;h57>5<#9m>1;h5a1e19=>=n?:0;6)?k4;5f?k7c;3k07d9=:18'5a2=?l1e=i=5b:9j35<72-;o879j;o3g7?e<3`<m6=4+1e693`=i9m91h65f6d83>!7c<3=n7c?k3;g8?l0c290/=i:57d9m5a5=n21b:n4?:%3g0?1b3g;o?7??;:k5f?6=,8n?6:k4n0f0>47<3`<j6=4+1e693`=i9m91=?54i7;94?"6l=0<i6`>d2827>=n>10;6)?k4;5f?k7c;3;?76g97;29 4b32>o0b<j<:078?l>1290/=i:57d9m5a5=9?10e5;50;&2`1<0m2d:h>4>7:9j<1<72-;o879j;o3g7?7?32c3?7>5$0f7>2c<f8n86<74;h:1>5<#9m>1;h5a1e195d=<a1;1<7*>d584a>h6l:0:n65f8183>!7c<3=n7c?k3;3`?>o0k3:1(<j;:6g8j4b428n07d9>:18'5a2=?l1e=i=51d98m30=83.:h948e:l2`6<6n21v97j:181[20m27?<i48f:p0<e=838pR99k;<63`?1c3ty?5l4?:3y]02d<5=:o6:l4}r6:=?6=:rT?;l5241f93d=z{=336=4={_64=>;38m0<56s|48594?4|V==370:?d;5;?xu31?0;6?uQ4658916c2>=0q~:65;296~X3??168=j5779~w1?32909wS:85:?74a<0=2wx84=50;0xZ11334>;h79;;|q7=7<72;qU8:=4=52g>25<uz>2=7>52z\737=:<9n1;?5rs5:e>5<5sW><<63;0e844>{t<1o1<7<t^54e?827l3<m7p};8e83>7}Y<?o019>k:7g8yv2?k3:1>vP;6e9>05b=>m1v96m:181[21k27?<i49c:p0=g=838pR98m;<63`?0e3ty?444?:3y]03g<5=:o6;o4}r6;<?6=:rT?:45241f92<=z{=2<6=4={_65<>;38m0=46s|49494?4|V=<<70:?d;44?xu3i<0;6?uQ4968916c21<0q~:n4;296~X30:168=j5849~w1g42909wS:72:?74a<?<2wx8l<50;0xZ1>634>;h76<;|q7e4<72;qU85>4=52g>=4<uz>j<7>52z\73c=:<9n14<5rs5;e>5<5sW><o63;0e8;4>{t<0h1<7<t^552?827l3=h7p};9183>7}Y<?<019>k:638yv2?=3:1>vP;649>05b=>?1v8=?:180[35>2789:4>0g9>70e=98:0q~;=e;297~X2:<16?8851038963e28;97p}:2e83>6}Y=;>01>;9:02e?852j3;:=6s|53a94?5|V<8870=:5;325>;4=h0:=?5rs40a>5<4sW?9>63<54824c=:;<k1=<?4}r71e?6=;rT>><523469547<5:?26<?=;|q66<<72:qU9?>4=277>46a349>57?>1:p17>=839pR8?i;<167?769278954>139~w0402908wS;>e:?016<68o16?8651038yv33;3:1?vP:389>71>=99l01>:k:033?xu2<80;6>uQ52:8962028;:70=;c;326>{t==:1<7=t^414?853?3;;j63<4b8254=z{<9m6=4<{_702>;4<?0:=<5235`9544<uz?8i7>53z\670=:;=<1==h4=26a>4763ty>?i4?:2y]162<5:>>6<?>;<17e?76:2wx9>m50;1xZ054349?97??f:?00d<6981v8=m:180[34:278894>109>71?=9880q~;<a;297~X2;816?9:511d8962>28;:7p}:4583>17|V<>?70<j7;7;?84b>3?370<j9;7;?825:3?370:=1;7;?826j3?370:>a;7;?82613?370:=0;7;?855;3?370==2;7;?85593?370==0;7;?856n3?370=>e;7;?856l3?370=>c;7;?856j3?370=>1;7;?85683?370=?f;7;?857m3?370=?d;7;?857k3?370=?b;7;?857i3?370=?9;7;?840<3?370<83;7;?840:3?370<81;7;?84083?370<9f;7;?841m3?370<9d;7;?841k3?370<mb;7;?84ei3?370<m9;7;?84e03?370<m7;7;?84e>3?370<m5;7;?84e<3?370<m3;7;?84>03?370<67;7;?84>>3?370<65;7;?84><3?370<63;7;?84>:3?370<61;7;?84>83?370<=d;7;?845k3?370<=b;7;?845i3?370<=9;7;?84503?370<=7;7;?845>3?370<=5;7;?827l3?o7p}:4g83>0}Y==l01?km:02e?84b03;;j63;0g824c=::l>1==h4}r765?6=?rT>9<5240091`=:<8?1=i84=537>4b134>9<7?k6:?756<6l?168?;51e48yv3203:19kuQ54:896d72<201>oi:4:891752<2019<8:4:891722<2019<9:4:891732<2019?i:4:8917b2<2019?<:4:8961>2<201>97:4:896102<201>99:4:896122<201>9;:4:896142<201>9=:4:896162<2019>l:4:8916e2<2019>n:4:8916>2<2019>7:4:891602<2019>9:4:891622<2019>;:4:896`f2<201>h6:4:896`?2<201>h8:4:896`12<201>h::4:896`32<201>h<:4:896`52<201>k7:4:896c02<201>k9:4:896c22<201>k;:4:896c42<201>k=:4:896c62<201>k?:4:896b12<201>j::4:896b32<201>j=:4:896b72<201>mj:4:896ed2<201>mn:4:896e?2<201??m:4:8977f2<201??6:4:8977?2<201??8:4:897712<201??::4:897732<201??<:4:897052<201?8>:4:897072<201?;i:4:8973b2<201?;k:4:8973d2<201?;m:4:8973f2<201?=i:4:8975b2<201?=k:4:8975d2<201?=m:4:8975f2<201?=6:4:8975?2<201?=8:4:897>12<201?6::4:897>32<201?6<:4:897>52<201?6>:4:897>72<201?9i:4:8971b2<2019<::4:896>42<2019>k:4a8yv31;3:1mvP:629>7g7=99l01>6::02e?85e:3;;j63<d2824c=:;j31==h4=2f2>477349hh7?>0:?0gg<69916?nh51028yv21<3:1;ouQ4708Z1063W>=<6P;5g9]00c<V=?o7S::c:\71g=Y<<k0R8:k;_77g>X2<k1U99o4^46:?[3302T>8:5Q5548Z0223W?==6P:619]10`<V<?n7S;:d:\61f=Y=<h0R8;n;_76=>X3=<1U8884^5d0?[2a<2T>985Q5458Z0353W>=863;3g824f=:<8h1:?5240c927=:<831:?52432927=:<8l1:?5240g927=:<891:?5239f920=:;1i1:85239`920=:;1k1:85239g920=:;h:1:85238d920=:;0o1:85238f920=:;h;1:8522b1920=::j81:8522b3920=::j:1:8522b6920=::m<1:8522e7920=::m>1:8522e1920=::m=1:85233691g=:;;>1=h>4=50;>47634>;h7?k9:?74a<6lh168=j51e`8916c2hi019>k:`c8916c2h3019>k:`:8916c2:l019>k:2g8916c2:n019>k:2a8916c2:<019>k:5g8916c2=n019>k:5a8916c2=h019>k:578916c2h:019>k:8g8916c20i019>k:8`8916c20k019>k:8;8916c202019>k:858916c20<019>k:878916c20>019>k:818916c20;019>k:828916c21l019>k:9g8916c21n019>k:9a8916c21h019>k:9c8916c213019>k:9:8916c2h=019>k:`48916c2h?019>k:`68916c2h9019>k:`08916c2h;019>k:8f8916c208019>k:958916c2k;019>k:`d8916c2ho019>k:`f8916c2=9370:?d;602>;38m0??85241f9062<uz>oj7>52z\7fc=:<9n1ii5rs5fg>5<5sW>ii63;0e8ff>{t<mh1<7<t^5``?827l3o27p};d`83>7}Y<kh019>k:d:8yv2c13:1>vP;b`9>05b=m>1v9j7:181[2e127?<i4j6:p0a1=838pR9l7;<63`?c23ty?h;4?:3y]0g1<5=:o6h:4}r6g1?6=:rT?n;5241f9a6=z{=n?6=4={_6a1>;38m0n>6s|4e194?4|V=h?70:?d;g2?xu3l;0;6?uQ4c18916c2l:0q~:k0;296~X3j8168=j5dd9~w1ea2909wS:m0:?74a<cl2wx8nk50;0xZ1ga34>;h7jl;|q7ga<72;qU8lk4=52g>ad<uz>ho7>52z\7ea=:<9n1hl5rs5aa>5<5sW>jo63;0e8g=>{t<jk1<7<t^5ca?827l3n37p};c883>7}Y<hk019>k:e58yv2d03:1>vP;a89>05b=l?1v9m8:181[2f027?<i4k5:p0`0=838pR9m:;<63`?`33ty?i84?:3y]0f2<5=:o6k=4}r6f0?6=:rT?o>5241f9b7=z{=o86=4={_6`6>;38m0m=6s|4d094?4|V=i:70:?d;d3?xu3m80;6?uQ4b28916c2ll0q~:j0;296~X3jm168=j5ed9~w1bd2909wS:m2:?74a<bi2wx8i?50;0xZ1g034>;h7ji;|q7g3<72;qU8l84=52g>a2<uz8n;7>53z\7a==::l=1=i94=3g;>4773ty8n=4?:3y]0`c<5:h;6<j8;|q0<6<72:qU9<=4=2:0>4b0349387??f:p6`?=838pR8;9;<0f=?7c?2wx=;k50;5x912728n:70=7a;7a?85>l3?i70<l0;7a?84c;3?i70=<f;7a?853m3?i7p}=e283>6}::l=1:?522d4927=::l>1=nh4}r62<?6=9?q6>h951e4897c128n=70<j9;7f?826j3;o;63<2286a>;4:;0>i63<2086a>;4:90>i63<1g86a>;49l0>i63<1e86a>;49j0>i63<1c86a>;4980>i63<1186a>;48o0>i63<0d86a>;48m0>i63<0b86a>;48k0>i63<0`86a>;4800:h;5rs3g5>5<3s48n:7?k7:?1ag<699168=h5103897c328;;7p}=e`83>7}::l31:?522d:95f`<uz9jh7>53z?0f5<1:278mk492:?0<0<6ko1v9?;:18685e83;o:63<ag82`3=:<8?1:?5240695a1<5:286<j9;|q0ec<72?q6?lh51e5896d628;;70=75;325>;40=0:=<523c09546<5:h?6<>j;|q750<72;q68<<5639>043=9m=0q~:>2;297~;39;0:h:523979546<5:2?6<??;|q764<72:q68?<5639>077=9m=019<?:4;8yv25:3:1>v3;2382`2=:<;>1==h4}r612?6=;r7?>:492:?763<6l>168?6511d8yv25?3:1>v3;2682`2=:<;k1==h4}r614?6=;r7?><492:?765<6l>168?:51028yv25=3:18v3;27856>;3:h0:==5243:9546<5=8>6<j8;|q756<72;q68<:5639>045=9m=0q~:=3;290~;39k0:h;5240c95a0<5=;26<j9;<610?7dn2wx8<950;06826i3;o;63=7586a>;5?:0>i63=7386a>;5?80>i63=7186a>;5>o0>i63=6d86a>;5>m0>i63=6b86a>;5jk0>i63=b`86a>;5j00>i63=b986a>;5j>0>i63=b786a>;5j<0>i63=b586a>;5j:0>i63=9986a>;51>0>i63=9786a>;51<0>i63=9586a>;51:0>i63=9386a>;5180>i63=9186a>;5:m0>i63=2b86a>;5:k0>i63=2`86a>;5:00>i63=2986a>;5:>0>i63=2786a>;5:<0>i6s|40494?5|5=;26<j8;<63b?76827?<i4>db9~w14>2908w0:>f;3g2>;39l0:h;5243c95f`<uz>:h7>52dy>04`=9m=01>96:4g8961?2<o01>98:4g896112<o01>9::4g896132<o01>9<:4g896152<o01>9>:4g8916d2<o019>m:4g8916f2<o019>6:4g8916?2<o019>8:4g891612<o019>::4g891632<o01>hn:4g896`>2<o01>h7:4g896`02<o01>h9:4g896`22<o01>h;:4g896`42<o01>h=:0f5?85b03?n70=j7;7f?85b>3?n70=j5;7f?85b<3?n70=j3;7f?85b:3?n70=j1;3g2>;4m90>i63<d786a>;4l<0>i63<d586a>;4l;0>i63<d186a>;4kl0>i63<cb86a>;4kh0:h;523b:95a0<uz>:o7>524y>04c=9m=01??m:4g8977f2<o01??6:4g8977?2<o01??8:4g897712<o01??::4g897732<o01??<:4g897052<o01?8>:4g897072<o01?;i:4g8973b2<o01?;k:4g8973d2<o01?;m:4g8973f2<o01?=i:4g8975b2<o01?=k:4g8975d2<o01?=m:4g8975f2<o01?=6:4g8975?2<o01?=8:4g897>12<o01?6::4g897>32<o01?6<:4g897>52<o01?6>:4g897>72<o01?9i:4g8971b2<o0q~=78;296~;40m0>n63<8b82gc=z{:3>6=4={<1;`?7b82785;4>cg9~w6>>2909w0=7d;3`b>;40l0>n6s|39594?4|5:2h68l4=2:a>4ea3ty85>4?:3y>7=e=9l:01>7;:0ae?xu40?0;6?u239`91g=:;1k1=nh4}r1:5?6=:r784o4>e19>7<4=9jl0q~=7f;296~;40h0:i=5238295f`<uz92;7>52z?0<`<6m916?4651bd8yv5fk3:1>v3<8d82gc=:;1?1=<=4}r1:f?6=:r78m=4:b:?0=c<6ko1v>o7:18185f83;n<63<a882gc=z{:3h6=4={<1b4?7dn278m<4:b:p7<g=838p1>7i:4`896?b28im7p}<a783>7}:;0l1=h>4=2c4>4ea3ty8544?:3y>7<c==k16?4j51bd8yv5f<3:1>v3<9d82a5=:;h?1=nh4}r1b6?6=:r785i4>e19>7d5=9jl0q~=na;296~;4i80:i=523``95f`<uz9ji7>52z?0e4<6ko16?5;51008yv4em3:1>v3=c286f>;5k;0:ok5rs3aa>5<5s48h?7?j0:?1gf<6ko1v?li:18184d;3;hj63=c586f>{t:kn1<7<t=3a1>0d<5;i:6<mi;|q1g<<72;q6>n<51d2897ef28im7p}=bb83>7}::j;19o522b295f`<uz8h;7>52z?1g4<6m916>n651bd8yv4d=3:1>v3=c182a5=::j<1=nh4}r0``?6=:r79o94>e19>6fc=9jl0q~<j2;296~;5k=0:ok522d69547<uz8o=7>52z?1`3<2j279h84>cg9~w7bb2909w0<k6;3f4>;5lo0:ok5rs3f1>5<5s48o:7?lf:?1`2<2j2wx>i>50;0x97b22<h01?j;:0ae?xu5lj0;6?u22e795`6<5;no6<mi;|q1gc<72;q6>i:55c9>6a5=9jl0q~<ka;296~;5l=0:i=522e`95f`<uz8o47>52z?1`6<6m916>i751bd8yv4b83:1>v3=d682a5=::l;1=nh4}r0f1?6=:r79h:4>cg9>6`2=9880q~:>0;2956}:;;91945233091<=:;;;1945233291<=:;8l1945230g91<=:;8n1945230a91<=:;8h1945230391<=:;8:1945231d91<=:;9o1945231f91<=:;9i1945231`91<=:;9k1945231;91<=::lh1=nh4}r0e3?6=<r78>>492:?054<6l>16>h?51028966028:m7p}<1`83>0}:;;91=i94=30g>34<5;in6<??;<3e5?76827?<i4;a:p6c0=83?p1><=:708967728n<70<kf;325>;48?0:<k523159546<uz9:57>55z?067<6l>16>nm5100894`628:m70?i0;324>;38m0?46s|2g794?0|5:8:6;<4=22e>4b0348oj7?>2:?043<69916?=:511d8966?28:m7p}<1983>0}:;;;1=i94=3a`>47634;m<7??f:?2ac<699168=j5469~w7`3290<w0==0;41?857m3;o;63=de8254=:;9<1=<?4=227>477349;47?>0:?047<68o1v>?8:18685583;o;63=c`8257=:9ll1==h4=0gf>47734>;h7:9;|q1b6<721q6?<h5639>75b=9m=01?jk:031?857>3;:>63<058254=:;9=1=<?4=223>46a349;>7?>0:p740=83?p1>?i:0f4?84di3;:=63>ed824c=:9ln1=<>4=52g>05<uz8m>7>59z?05`<1:278<n4>d69>6ad=98801>>9:030?857<3;:>63<068257=::on1==h4=223>477349;>7?>1:p743=83?p1>?j:0f4?84d03;:>63>ee824c=:9li1=<>4=52g>04<uz8m=7>58z?05a<1:278<o4>d69>6ad=98;01?hl:02e?84bm3;;j63=fe8257=:;9:1=<=4=221>4733ty8=94?:4y>74b=9m=01?m7:032?87bk3;;j63>ec8255=:<9n19<5rs3d3>5<>s49:o78=;<13e?7c?279h44>109>6ce=98:01?kk:033?84bm3;:=63=fe8254=:;9:1=<<4=221>4743ty8=>4?:4y>74e=9m=01?m9:031?87bj3;;j63>e`8255=:<9n19=5rs3ge>5<fs49:n78=;<13=?7c?279h44>139>6ce=98;01?kk:02e?84bm3;:<63=fe8255=:;9:1=<?4=221>475348no7??e:p744=83>p1>?m:0f4?84d>3;:=63>e`824c=:<9n18k5rs224>5<5s49:=78=;<133?7dn2wx?=;50;0x96772?801>>9:0ae?xu48:0;6?u231d927=:;9>1=nh4}r135?6=:r78<h492:?047<6ko1v?hi:181857l3<970=?0;3`b>{t:oh1<7<t=22`>34<5;lo6<mi;|q1bd<72;q6?=l5639>6`c=9jl0q~<i9;296~;48h0=>63=ee82gc=z{;l36=4={<13=?05348no7?lf:p714=838p1>:<:4`8962528im7p}<4e83>7}:;=91:85235f95f`<uz9?47>52z?006<6m916?9651bd8yv51?3:1>v3<4282gc=:;1>1=<=4}r144?6==r78854>119>71b=99l01>;8:033?852k3;;j63<7882`2=z{:>:6=4={<176?3e349?=7?lf:p71e=838p1>:=:778962d28im7p}<4683>7}:;=81=h>4=264>4ea3ty8:h4?:4y>711=98:01>:l:033?852>3;:<63<5c8255=:;>=1=i94}r15b?6==r788:4>139>71e=99l01>;9:031?852j3;;j63<7982`2=z{:>;6=4={<175?3e349?<7?lf:p71d=838p1>:>:778962e28im7p}<4783>7}:;=;1=h>4=265>4ea3ty8:n4?:4y>710=98:01>:m:033?852=3;:<63<5`8255=:;>?1=i94}r15`?6==r788;4>139>71d=99l01>;::031?852i3;;j63<7782`2=z{:9m6=4={<174?3e3498j7?lf:p71g=838p1>:?:778962f28im7p}<4483>7}:;=:1=h>4=266>4ea3ty8:l4?:4y>713=98:01>:n:033?852<3;:<63<588255=:;>91=i94}r15f?6==r78884>139>71g=99l01>;;:031?85213;;j63<7582`2=z{:>26=4={<10b?02349?57?lf:p712=838p1>=i:0g3?853<3;hj6s|37:94?3|5:>?6<??;<17=?7682789>4>119>70>=98:01>9>:0f4?xu4>00;68u23569544<5:>26<>i;<167?76:278954>0g9>724=9m=0q~=:1;296~;4=;0>n63<5082gc=z{:?h6=4={<166?02349>o7?lf:p701=838p1>;=:0g3?852?3;hj6s|34094?4|5:?96<mi;<1;0?76:2wx?8>50;0x96362<h01>;?:0ae?xu4=k0;6?u2343920=:;<h1=nh4}r162?6=:r789<4>e19>700=9jl0q~=;f;296~;4=90>n63<4g82gc=z{:?j6=4={<164?02349>m7?lf:p703=838p1>;?:0g3?852=3;hj6s|35g94?4|5:>m68l4=26f>4ea3ty8944?:3y>71`=><16?8751bd8yv52<3:1>v3<4g82a5=:;<>1=nh4}r16<?6=:r788h495:?01=<6ko1v>;<:181853m3;n<63<5282gc=z{:8h6=4={<10a?3e3499o7?lf:p763=838p1>=j:041?854=3;hj6s|39094?4|5:9n6<mi;<14=?053ty8>o4?:2y>76b==k16??m55c9>77d=9jl0q~=<4;297~;4;m0::?5233a95`6<5:9?6<mi;|q0<4<72;q6?>j51bd8961?2?80q~=i0;291~;4:j0=963;0c856>;4n00:h:523849546<5:9?6<>i;|q06d<72:q6?>m55c9>77d==k16??o51bd8yv54;3:1?v3<3b8227=:;;h1=h>4=210>4ea3ty84=4?:3y>76e=9jl01>98:708yv5bn3:19v3<2c851>;38h0=>63<f982`2=:;0<1=<<4=210>46a3ty8>44?:2y>76d==k16??o55c9>77?=9jl0q~=<2;297~;4;k0::?5233c95`6<5:996<mi;|q03c<72;q6?>l51bd896112?80q~=je;291~;4:h0=963;08856>;4n>0:h:523869546<5:996<>i;|q06=<72:q6?>o55c9>77?==k16??651bd8yv5493:1?v3<3`8227=:;;31=h>4=212>4ea3ty8;h4?:3y>76g=9jl01>9::708yv5bl3:19v3<28851>;3810=>63<f782`2=:;0>1=<<4=212>46a3ty8>:4?:2y>76?==k16??655c9>771=9jl0q~=<0;297~;4;00::?5233:95`6<5:9;6<mi;|q03a<72;q6?>751bd896132?80q~=jc;291~;4:10=963;06856>;4n<0:h:523809546<5:9;6<>i;|q063<72:q6?>655c9>771==k16??851bd8yv55n3:1?v3<398227=:;;=1=h>4=20e>4ea3ty8;n4?:3y>76>=9jl01>9<:708yv5bj3:19v3<26851>;38?0=>63<f582`2=:;081=<<4=20e>46a3ty8>84?:2y>761==k16??855c9>773=9jl0q~==e;297~;4;>0::?5233495`6<5:8n6<mi;|q03g<72;q6?>951bd896152?80q~=ja;291~;4:?0=963;04856>;4n:0:h:523829546<5:8n6<>i;|q061<72:q6?>855c9>773==k16??:51bd8yv55l3:1?v3<378227=:;;?1=h>4=20g>4ea3ty8;l4?:3y>760=9jl01>9>:708yv5b13:19v3<24851>;38=0=>63<f382`2=:;0:1=<<4=20g>46a3ty8n<4?:02x96432??01>k7:4;896c02<301>k9:4;896c22<301>k;:4;896c42<301>k=:4;896c62<301>k?:4;896d528im70:?d;335>;38m0??>5241f9067<5=:o69=?;<63`?25n2wx8<?50;35827k3?270:?b;7:?827i3?270:?9;7:?82703?270:?7;7:?827>3?270:?5;7:?827<3?270=ia;7:?85a13?270=i8;7:?85a?3?270=i6;7:?85a=3?270=i4;7:?85a;3?270=i2;7:?85c>3?270=k5;7:?85c<3?270=m1;3`b>{t;o;1<7:t=52`>34<5:lj6<j8;<1:<?768278?84>0g9~w164290?w0:?c;3g3>;50?0=>63>f88255=:<9n1?o5rs521>5<3s4>;n7?k7:?2b<<68o16=k651028916c2:30q~:?1;290~;38h0:h:521g:955`<58l<6<??;<63`?5?3ty?<=4?:5y>05?=9m=01<h8:02e?87a>3;:<63;0e803>{t;ol1<7:t=52;>4b034;m:7??f:?2b0<699168=j5459~w6`b290?w0:?7;3g3>;6n<0:<k521g69546<5=:o69=4}r1e`?6=<r7?<;4>d69>5c2=99l01<h<:033?827l3>97p}<fb83>1}:<9?1=i94=0d0>46a34;m>7?>0:?74a<392wx?kl50;1x916328n<70?i2;33b>;38m0?<6s|3ed94?5|5:lj6;<4=2g;>4b0349jn7??f:p7ac=839p1>h6:70896c028n<70=n9;324>{t;mn1<7=t=2d;>34<5:o=6<j8;<1b=?77n2wx?im50;1x96`02?801>k::0f4?85f?3;:<6s|3e`94?5|5:l=6;<4=2g7>4b0349j;7??f:p7ag=839p1>h::70896c428n<70=n5;324>{t;m31<7=t=2d7>34<5:o96<j8;<1b1?77n2wx?i650;1x96`42?801>k>:0f4?85f;3;:<6s|3e594?5|5:l96;<4=2g3>4b0349j?7??f:p7gb=839p1>k7:70896b128n<70=l6;33b>{t;ki1<7:t=2g4>34<5:n>6<j8;<1`1?77n278o;4>119~w6de290>w0=j6;41?85c<3;o;63<c48255=:;j91==h4=2a4>46a3ty8nl4?:6y>7`3=>;16?i<51e5896e228;:70=l3;324>;4k>0:==523b3955`<5:n86<??;|q0f<<72>q6?h:5639>7a6=9m=01>m::031?85d;3;:=63<c78254=:;j;1=<>4=2f2>46a3ty8n54?:9y>7`5=>;16?nk51e5896e228;870=l3;326>;4k?0:=?523b39547<5:n:6<?>;<1`b?77n2wx?o950;5x96c52?801>ml:0f4?85em3;;j63<c08251=:;m;1=<:4=2ag>46a349hj7?>3:p7g0=832p1>k>:70896ef28n<70=me;324>;4k80:=>523e39545<5:io6<?=;<1`f?77n278ok4>139~w6d22902w0=j0;41?85d03;o;63<bd8254=:;j;1=<<4=2a:>477349o=7?>2:?0ga<69816?nl5103896ea28;:7p}<c783>7}:;m<1:?523b495f`<uz9h87>52z?0`0<1:278o84>cg9~w6e52909w0=k4;41?85d;3;hj6s|3c194?1|5:n96874=2f3>0?<5:in6874=2a`>0?<5:ij6874=2a;>0?<5:h?6<mi;|q0`6<72;q6?i<5639>7a5=9jl0q~=k1;296~;4l90=>63<d082gc=z{:im6=4={<1`a?05349hj7?lf:p7fb=838p1>ml:70896ec28im7p}<cc83>7}:;jk1:?523b`95f`<uz9h57>52z?0g=<1:278o44>cg9~w7g62903w0<84;41?84ej3;o;63=a98255=::hk1=<>4=3ca>475348jh7?>3:?1ec<69=16>l=51028yv41j3:1?v3=7582`2=::jo1==h4=3g2>46a3ty9m:4?:2y>625=>;16>l651bd897d628;?7p}=6`83>6}::>91=i94=3a`>477348oj7?>0:p6d?=838p1?9=:70897gf28im7p}=6883>6}::>81=i94=3a`>46a348oj7??f:p6de=839p1?9>:70897ge28im70<n5;320>{t:?21<7=t=352>4b0348hm7?>0:?1`a<6991v?oj:18184083<970<nd;3`b>{t:?=1<7=t=353>4b0348hm7??f:?1`a<68o1v?l?:181841n3<970<nf;3`b>{t:?<1<7=t=34e>4b0348h47?>0:?1`g<6991v?l=:181841m3<970<m1;3`b>{t:??1<7=t=34f>4b0348h47??f:?1`g<68o1v?o;:181841l3<970<n2;3`b>{t:?>1<7=t=34g>4b0348h:7?>0:?1`<<6991v?o9:181841k3<970<n5;3`b>{t:?91<7=t=34`>4b0348h:7??f:?1`<<68o1v?:7:18;846j3<970<92;3g3>;5<h0:==5225a9546<5;>o6<?=;<07b?76;2799<4>159>600=98:0q~<>2;290~;59k0:h:5238:955`<5:ki6<??;<101?7682wx>9750;1x977f2?801?:n:0ae?842;3;:86s|20394?2|5;;j6<j8;<1:2?77n278m44>139>762=98:0q~<;b;296~;5900=>63=4b82gc=z{;;;6=4;{<02=?7c?2785;4>109>7d?=98;01>=<:033?xu5<l0;6>u220:927=::=n1=nh4=37;>4733ty9<k4?:5y>64>=9m=01>7;:02e?85f?3;:>63<338255=z{;?;6=4={<023?05348?j7?lf:p65c=83>p1??8:0f4?85><3;:=63<a68254=:;:;1=<>4}r066?6=:r79=;492:?114<6ko1v?>k:187846>3;o;63<93824c=:;h?1=<<4=213>4773ty9994?:3y>643=>;16>8=51bd8yv47k3:18v3=1482`2=:;081=<?4=2c6>4763499j7?>0:p601=838p1??;:708973228im7p}=0c83>1}::8>1=i94=2;3>46a349j?7?>2:?06`<6991v?;6:181846;3<970<:8;3`b>{t:9k1<7:t=330>4b03492<7?>1:?0e6<69816??j51028yv4?n3:1>v3=bc856>;5110:h:5rs3:f>5<5s48im78=;<0:3?7c?2wx>l>50;5x97df28n<70<n8;33b>;5ih0:<k522``9547<5;ko6<?=;<0bb?76;279m>4>109~w7>c2909w0<m9;41?84>>3;o;6s|28d94?1|5;h26<j8;<0be?769279mo4>119>6db=98;01?oi:031?84e93;:>63=a38256=z{;2h6=4={<0a<?05348297?k7:p6<c=83<p1?l7:0f4?84fj3;;j63=ae8255=::hl1=<?4=3`2>474348j?7??f:p6=d=838p1?l8:70897?328n<7p}=9e83>3}::k=1=i94=3cg>46a348jj7?>0:?1e0<69816>o?5102897g528;:7p}=8`83>7}::k<1:?5228195a1<uz82o7>55z?1f3<6l>16>lh511d897g228;970<m1;325>;5i;0:=?5rs3::>5<5s48i978=;<0:6?7c?2wx>4l50;6x97d228n<70<n5;33b>;5j80:<k522`0955`<uz8347>52z?1f1<1:2795<4>d69~w7?f2908w0<m4;3g3>;5i<0:==522`09546<uz83;7>52z?1f6<1:2795=4>d69~w7?>2909w0<m3;3g3>;5i<0:=>5rs315>5<5s48=>78=;<00b?7c?2wx>>;50;0x97062?801?=j:0f4?xu5<>0;6:u227395a1<5;>j6<>i;<07g?77n2798i4>109>61`=98801?;>:030?842>3;:=6s|22694?4|5;<;6;<4=31g>4b03ty98;4?:6y>636=9m=01?:l:032?843l3;:<63=4g8254=::<;1=<<4=370>475348>97?>3:p665=838p1?;i:708975d28n<7p}=4483>3}::<l1=i94=36g>46a348?j7?>0:?114<69816>8=51018973128:m7p}=3383>7}::<o1:?5222`95a1<uz8?87>56z?11`<6l>16>9h511d8973628;;70<:8;325>;5=:0:==522479547<uz88=7>52z?11a<1:279?l4>d69~w724290>w0<:d;3g3>;5=80:<k5224:9544<5;?86<?>;<061?76:2wx>>>50;0x973d2?801?=6:0f4?xu5<;0;69u224a95a1<5;?36<>i;<067?77n279984>0g9~w74a2909w0<:b;41?84403;o;6s|25394?5|5;?i6<j8;<06<?768279984>119~w74b2909w0<:a;41?844?3;o;6s|25294?4|5;?j6<j8;<06<?76;2wx>:j50;0x97??2?801?69:0f4?xu5?j0;6?u2285927=::1?1=i94}r04f?6=:r795;492:?1<1<6l>1v?9n:18184>=3<970<73;3g3>{t:>31<7<t=3;7>34<5;296<j8;|q13=<72;q6>4=5639>6=7=9m=0q~<87;296~;51;0=>63=8182`2=z{;==6=4={<0:5?05348<j7?k7:p623=838p1?7?:708971b28n<7p}=2583>7}:::l1:?5223f95a1<uz89?7>52z?17`<1:279>n4>d69~w7452909w0<<d;41?845j3;o;6s|23394?4|5;9h6;<4=30b>4b03ty9>=4?:3y>66d=>;16>?751e58yv46n3:1>v3=3`856>;5:10:h:5rs33f>5<5s488578=;<013?7c?2wx><j50;0x975?2?801?<9:0f4?xu59j0;6?u2225927=::;?1=i94}r035?6=:r79>n492:?2b4<6ko1v?>?:181845j3<970?i0;3`b>{t9ol1<7<t=30b>34<58om6<mi;|q2b`<72;q6>?75639>5`c=9jl0q~?id;296~;5:10=>63>ee82gc=z{8lh6=4={<013?0534;no7?lf:p5cd=838p1?<9:70894ce28im7p}>f`83>7}::;?1:?521dc95f`<uz8;57>52z?1<0<1:27:j44>cg9~w76?2909w0<74;41?87a03;hj6s|21594?4|5;286;<4=0d4>4ea3ty9<;4?:3y>6=4=>;16=k851bd8yv47=3:1>v3=80856>;6n<0:ok5rs327>5<5s483<78=;<3e0?7dn2wx>==50;0x971a2?801<h<:0ae?xu58;0;6?u226g927=:9o81=nh4}r63a?6=:r7?<k4>cg9>05b=9980q~<ie;290~;5nj0:ok523149542<5::?6<?<;<133?76<2wx?oh50;6x96db28im70=l5;320>;4k:0:=>523b49542<uz;2o7>52z?04=<6ko16?=951018yv7e93:1>v3<c682gc=:;j<1=<=4}r3;6?6=:r799;4>cg9>603=98>0q~?67;296~;5i:0:ok522`09542<uz>947>52z?76=<6ko168?;5639~w6>32909w0=73;41?85?<3;hj6s|3b294?4|5:i:6<mi;<1g7?7692wv8;>:181[32927>:7;:1:&76g<6j>1v8;7:181[32027>:7;:8:&76g<6j11v8:;:181[33<27>:7;;4:&76g<6k;1v97j:181[20m27>:7:8e:&76g<6?>1v97l:181[20l27>:7:8d:&76g<60;1v97n:181[20j27>:7:8b:&76g<60m1v976:181[20i27>:7:8a:&76g<61=1v977:181[20127>:7:89:&76g<61?1v978:181[20027>:7:88:&76g<61>1v979:181[20?27>:7:87:&76g<6101v97::181[20>27>:7:86:&76g<61h1v97;:181[20=27>:7:85:&76g<61k1v97<:181[20<27>:7:84:&76g<61m1v97=:181[20;27>:7:83:&76g<61l1v97>:181[20:27>:7:82:&76g<61o1v96i:181[20827>:7:80:&76g<6i91v96j:181[21n27>:7:9f:&76g<6i81v96k:181[21m27>:7:9e:&76g<6i;1v96l:181[21l27>:7:9d:&76g<6i:1v96m:181[21k27>:7:9c:&76g<6i=1v96n:181[21j27>:7:9b:&76g<6i<1v966:181[21i27>:7:9a:&76g<6i?1v967:181[21127>:7:99:&76g<6i>1v968:181[21027>:7:98:&76g<6i11v969:181[21?27>:7:97:&76g<6i01v9o::181[2?<27>:7:74:&76g<6ih1v9o;:181[2?;27>:7:73:&76g<6ik1v9o<:181[2?:27>:7:72:&76g<6ij1v9o=:181[2?927>:7:71:&76g<6im1v9o>:181[2?827>:7:70:&76g<6il1v9o?:181[20n27>:7:8f:&76g<6io1v97i:181[20k27>:7:8c:&76g<6j91v97m:181[20927>:7:81:&76g<6j;1v97?:181[21>27>:7:96:&76g<6j:1v96::181[21=27>:7:95:&76g<6j=1v88<:181[31;27>:7;93:&76g<6j<1v8:i:181[33n27>:7;;f:&76g<6j?1v8=?:181[35>27>:7;=6:&76g<6j01v8<j:181[35=27>:7;=5:&76g<6jh1v8<k:181[35<27>:7;=4:&76g<6jk1v8<l:181[35;27>:7;=3:&76g<6jj1v8<m:181[35:27>:7;=2:&76g<6jm1v8<n:181[35927>:7;=1:&76g<6jl1v8<6:181[35827>:7;=0:&76g<6jo1v8<7:181[36n27>:7;>f:&76g<6k91v8<8:181[36m27>:7;>e:&76g<6k81v8:<:181[34127>:7;<9:&76g<6k:1v8:>:181[34027>:7;<8:&76g<6k=1v8:?:181[34?27>:7;<7:&76g<6k<1v8=i:181[34>27>:7;<6:&76g<6k?1v8=j:181[34=27>:7;<5:&76g<6k>1v8=k:181[34<27>:7;<4:&76g<6k11v8=l:181[34;27>:7;<3:&76g<6k01v8=m:181[34:27>:7;<2:&76g<6kh1v8=n:181[34927>:7;<1:&76g<6kk1v9ji:181[2en27>:7:mf:&76g<6>o1v9jk:181[2em27>:7:me:&76g<6?91v9jm:181[2ek27>:7:mc:&76g<6?81v9jn:181[2ej27>:7:mb:&76g<6?;1v9j6:181[2ei27>:7:ma:&76g<6?:1v9j7:181[2e127>:7:m9:&76g<6?=1v9j8:181[2e027>:7:m8:&76g<6?<1v9j9:181[2e?27>:7:m7:&76g<6??1v9j::181[2e>27>:7:m6:&76g<6?11v9j;:181[2e=27>:7:m5:&76g<6?01v9j<:181[2e<27>:7:m4:&76g<6?h1v9j=:181[2e;27>:7:m3:&76g<6?k1v9j?:181[2e927>:7:m1:&76g<6?j1v9mi:181[2e827>:7:m0:&76g<6?m1v9mj:181[2fn27>:7:nf:&76g<6?l1v9mk:181[2fm27>:7:ne:&76g<6?o1v9ml:181[2fl27>:7:nd:&76g<6091v9mm:181[2fk27>:7:nc:&76g<6081v9mn:181[2fj27>:7:nb:&76g<60:1v9m6:181[2fi27>:7:na:&76g<60=1v9m7:181[2f127>:7:n9:&76g<60<1v9m8:181[2f027>:7:n8:&76g<60?1v9k9:181[2d=27>:7:l5:&76g<60>1v9k::181[2d<27>:7:l4:&76g<6011v9k;:181[2d;27>:7:l3:&76g<6001v9k<:181[2d:27>:7:l2:&76g<60h1v9k=:181[2d927>:7:l1:&76g<60k1v9k>:181[2d827>:7:l0:&76g<60j1v9k?:181[2el27>:7:md:&76g<60l1v9jl:181[2e:27>:7:m2:&76g<60o1v9j>:181[2f?27>:7:n7:&76g<6191v9m9:181[2f>27>:7:n6:&76g<6181v9kj:181[2bm27>:7:je:&76g<61;1v9k7:181[2b027>:7:j8:&76g<61:1v8;9:181[32>27>:7;:6:&76g<61<1v8?<:181[36;27>:7;>3:&76g<6111vqco>7;296~N3:j1vbl?7:181M25k2wem<750;0xL14d3tdj=l4?:3yK07e<ugk:n7>52zJ76f=zfh;h6=4={I61g>{ii8n1<7<tH50`?xhf9l0;6?uG43a8ykg6n3:1>vF;2b9~jd472909wE:=c:me77=838pD9<l;|lb67<72;qC8?m4}oc17?6=:rB?>n5rn`07>5<5sA>9o6saa3794?4|@=8h7p`n2783>7}O<;i0qco=7;296~N3:j1vbl<7:181M25k2wem?750;0xL14d3tdj>l4?:3yK07e<ugk9n7>52zJ76f=zfh8h6=4={I61g>{ii;n1<7<tH50`?xhf:l0;6?uG43a8ykg5n3:1>vF;2b9~jd572909wE:=c:me67=838pD9<l;|lb77<72;qC8?m4}oc07?6=:rB?>n5rn`17>5<5sA>9o6saa2794?4|@=8h7p`n3783>7}O<;i0qco<7;296~N3:j1vbl=7:181M25k2wem>750;0xL14d3tdj?l4?:3yK07e<ugk8n7>52zJ76f=zfh9h6=4={I61g>{ii:n1<7<tH50`?xhf;l0;6?uG43a8ykg4n3:1>vF;2b9~jd272909wE:=c:me17=838pD9<l;|lb07<72;qC8?m4}oc77?6=:rB?>n5rn`67>5<5sA>9o6saa5794?4|@=8h7p`n4783>7}O<;i0qco;7;296~N3:j1vbl:7:181M25k2wem9750;0xL14d3tdj8l4?:3yK07e<ugk?n7>52zJ76f=zfh>h6=4={I61g>{ii=n1<7<tH50`?xhf<l0;6?uG43a8ykg3n3:1>vF;2b9~jd372909wE:=c:me07=838pD9<l;|lb17<72;qC8?m4}oc67?6=:rB?>n5rn`77>5<5sA>9o6saa4794?4|@=8h7p`n5783>7}O<;i0qco:7;296~N3:j1vbl;7:181M25k2wem8750;0xL14d3tdj9l4?:3yK07e<ugk>n7>52zJ76f=zfh?h6=4={I61g>{ii<n1<7<tH50`?xhf=l0;6?uG43a8ykg2n3:1>vF;2b9~jd072909wE:=c:me37=838pD9<l;|lb27<72;qC8?m4}oc57?6=:rB?>n5rn`47>5<5sA>9o6saa7794?4|@=8h7p`n6783>7}O<;i0qco97;296~N3:j1vbl87:181M25k2wem;750;0xL14d3tdj:l4?:3yK07e<ugk=n7>52zJ76f=zfh<h6=4={I61g>{ii?n1<7<tH50`?xhf>l0;6?uG43a8ykg1n3:1>vF;2b9~jd172909wE:=c:me27=838pD9<l;|l;f6<728qC8?m4}o:g<?6=9rB?>n5rn9f:>5<6sA>9o6sa8ec94?7|@=8h7p`7dc83>4}O<;i0qc6kc;295~N3:j1vb5jk:182M25k2we4ik50;3xL14d3td3hk4?:0yK07e<ug2n<7>51zJ76f=zf1o:6=4>{I61g>{i0l81<7?tH50`?xh?m:0;6<uG43a8yk>b<3:1=vF;2b9~j=c2290:wE:=c:m<`0=83;pD9<l;|l;a2<728qC8?m4}o:f<?6=9rB?>n5rn9g:>5<6sA>9o6sa8dc94?7|@=8h7p`7ec83>4}O<;i0qc6jc;295~N3:j1vb5kk:182M25k2we4hk50;3xL14d3td3ik4?:0yK07e<ug2m<7>51zJ76f=zf1l:6=4>{I61g>{i0o81<7?tH50`?xh?n:0;6<uG43a8yk>a<3:1=vF;2b9~j=`2290:wE:=c:m<c0=83;pD9<l;|l;b2<728qC8?m4}o:e<?6=9rB?>n5rn9d:>5<6sA>9o6sa8gc94?7|@=8h7p`7fc83>4}O<;i0qc6ic;295~N3:j1vb5hk:182M25k2we4kk50;3xL14d3td3jk4?:0yK07e<ug3;<7>51zJ76f=zf0::6=4>{I61g>{i1981<7?tH50`?xh>8:0;6<uG43a8yk?7<3:1=vF;2b9~j<62290:wE:=c:m=50=83;pD9<l;|l:42<728qC8?m4}o;3<?6=9rB?>n5rn82:>5<6sA>9o6sa91c94?7|@=8h7p`60c83>4}O<;i0qc7?c;295~N3:j1vb4>k:182M25k2we5=k50;3xL14d3td2<k4?:0yK07e<ug3:<7>51zJ76f=zf0;:6=4>{I61g>{i1881<7?tH50`?xh>9:0;6<uG43a8yk?6<3:1=vF;2b9~j<72290:wE:=c:m=40=83;pD9<l;|l:52<728qC8?m4}o;2<?6=9rB?>n5rn83:>5<6sA>9o6sa90c94?7|@=8h7p`61c83>4}O<;i0qc7>c;295~N3:j1vb4?k:182M25k2we5<k50;3xL14d3td2=k4?:0yK07e<ug39<7>51zJ76f=zf08:6=4>{I61g>{i1;81<7?tH50`?xh>::0;6<uG43a8yk?5<3:1=vF;2b9~j<42290:wE:=c:m=70=83;pD9<l;|l:62<728qC8?m4}o;1<?6=9rB?>n5rn80:>5<6sA>9o6sa93c94?7|@=8h7p`62c83>4}O<;i0qc7=c;295~N3:j1vb4<k:182M25k2we5?k50;3xL14d3td2>k4?:0yK07e<ug38<7>51zJ76f=zf09:6=4>{I61g>{i1:81<7?tH50`?xh>;:0;6<uG43a8yk?4<3:1=vF;2b9~j<52290:wE:=c:m=60=83;pD9<l;|l:72<728qC8?m4}o;0<?6=9rB?>n5rn81:>5<6sA>9o6sa92c94?7|@=8h7p`63c83>4}O<;i0qc7<c;295~N3:j1vb4=k:182M25k2we5>k50;3xL14d3td2?k4?:0yK07e<ug3?<7>51zJ76f=zf0>:6=4>{I61g>{i1=81<7?tH50`?xh><:0;6<uG43a8yk?3<3:1=vF;2b9~j<22290:wE:=c:m=10=83;pD9<l;|l:02<728qC8?m4}o;7<?6=9rB?>n5rn86:>5<6sA>9o6sa95c94?7|@=8h7p`64c83>4}O<;i0qc7;c;295~N3:j1vb4:k:182M25k2we59k50;3xL14d3td28k4?:0yK07e<ug3><7>51zJ76f=zf0?:6=4>{I61g>{i1<81<7?tH50`?xh>=:0;6<uG43a8yk?2<3:1=vF;2b9~j<32290:wE:=c:m=00=83;pD9<l;|l:12<728qC8?m4}o;6<?6=9rB?>n5rn87:>5<6sA>9o6sa94c94?7|@=8h7p`65c83>4}O<;i0qc7:c;295~N3:j1vb4;k:182M25k2we58k50;3xL14d3td29k4?:0yK07e<ug3=<7>51zJ76f=zf0<:6=4>{I61g>{i1?81<7?tH50`?xh>>:0;6<uG43a8yk?1<3:1=vF;2b9~j<02290:wE:=c:m=30=83;pD9<l;|l:22<728qC8?m4}o;5<?6=9rB?>n5rn84:>5<6sA>9o6sa97c94?7|@=8h7p`66c83>4}O<;i0qc79c;295~N3:j1vb48k:182M25k2we5;k50;3xL14d3td2:k4?:0yK07e<ug3<<7>51zJ76f=zf0=:6=4>{I61g>{i1>81<7?tH50`?xh>?:0;6<uG43a8yk?0<3:1=vF;2b9~j<12290:wE:=c:m=20=83;pD9<l;|l:32<728qC8?m4}o;4<?6=9rB?>n5rn85:>5<6sA>9o6sa96c94?7|@=8h7p`67c83>4}O<;i0qc78c;295~N3:j1vb49k:182M25k2we5:k50;3xL14d3td2;k4?:0yK07e<ug33<7>51zJ76f=zf02:6=4>{I61g>{i1181<7?tH50`?xh>0:0;6<uG43a8yk??<3:1=vF;2b9~j<>2290:wE:=c:m==0=83;pD9<l;|l:<2<728qC8?m4}o;;<?6=9rB?>n5rn8::>5<6sA>9o6sa99c94?7|@=8h7p`68c83>4}O<;i0qc77c;295~N3:j1vb46k:182M25k2we55k50;3xL14d3td24k4?:0yK07e<ug32<7>51zJ76f=zf03:6=4>{I61g>{i1081<7?tH50`?xh>1:0;6<uG43a8yk?><3:1=vF;2b9~j<?2290:wE:=c:m=<0=83;pD9<l;|l:=2<728qC8?m4}o;:<?6=9rB?>n5rn8;:>5<6sA>9o6sa98c94?7|@=8h7p`69c83>4}O<;i0qc76c;295~N3:j1vb47k:182M25k2we54k50;3xL14d3td25k4?:0yK07e<ug3j<7>51zJ76f=zf0k:6=4>{I61g>{i1h81<7?tH50`?xh>i:0;6<uG43a8yk?f<3:1=vF;2b9~j<g2290:wE:=c:m=d0=83;pD9<l;|l:e2<728qC8?m4}o;b<?6=9rB?>n5rn8c:>5<6sA>9o6sa9`c94?7|@=8h7p`6ac83>4}O<;i0qc7nc;295~N3:j1vb4ok:182M25k2we5lk50;3xL14d3td2mk4?:0yK07e<ug3i<7>51zJ76f=zf0h:6=4>{I61g>{i1k81<7?tH50`?xh>j:0;6<uG43a8yk?e<3:1=vF;2b9~j<d2290:wE:=c:m=g0=83;pD9<l;|l:f2<728qC8?m4}o;a<?6=9rB?>n5rn8`:>5<6sA>9o6sa9cc94?7|@=8h7p`6bc83>4}O<;i0qc7mc;295~N3:j1vb4lk:182M25k2we5ok50;3xL14d3td2nk4?:0yK07e<ug3h<7>51zJ76f=zf0i:6=4>{I61g>{i1j81<7?tH50`?xh>k:0;6<uG43a8yk?d<3:1=vF;2b9~j<e2290:wE:=c:m=f0=83;pD9<l;|l:g2<728qC8?m4}o;`<?6=9rB?>n5rn8a:>5<6sA>9o6sa9bc94?7|@=8h7p`6cc83>4}O<;i0qc7lc;295~N3:j1vb4mk:182M25k2we5nk50;3xL14d3td2ok4?:0yK07e<ug3o<7>51zJ76f=zf0n:6=4>{I61g>{i1m81<7?tH50`?xh>l:0;6<uG43a8yk?c<3:1=vF;2b9~j<b2290:wE:=c:m=a0=83;pD9<l;|l:`2<728qC8?m4}o;g<?6=9rB?>n5rn8f:>5<6sA>9o6sa9ec94?7|@=8h7p`6dc83>4}O<;i0qc7kc;295~N3:j1vb4jk:182M25k2we5ik50;3xL14d3td2hk4?:0yK07e<ug3n<7>51zJ76f=zf0o:6=4>{I61g>{i1l81<7?tH50`?xh>m:0;6<uG43a8yk?b<3:1=vF;2b9~j<c2290:wE:=c:m=`0=83;pD9<l;|l:a2<728qC8?m4}o;f<?6=9rB?>n5rn8g:>5<6sA>9o6sa9dc94?7|@=8h7p`6ec83>4}O<;i0qc7jc;295~N3:j1vb4kk:182M25k2we5hk50;3xL14d3td2ik4?:0yK07e<ug3m<7>51zJ76f=zf0l:6=4>{I61g>{i1o81<7?tH50`?xh>n:0;6<uG43a8yk?a<3:1=vF;2b9~j<`2290:wE:=c:m=c0=83;pD9<l;|l:b2<728qC8?m4}o;e<?6=9rB?>n5rn8d:>5<6sA>9o6sa9gc94?7|@=8h7p`6fc83>4}O<;i0qc7ic;295~N3:j1vb4hk:182M25k2we5kk50;3xL14d3td2jk4?:0yK07e<ugk;<7>51zJ76f=zfh::6=4>{I61g>{ii981<7?tH50`?xhf8:0;6<uG43a8ykg7<3:1=vF;2b9~jd62290:wE:=c:me50=83;pD9<l;|lb42<728qC8?m4}oc3<?6=9rB?>n5rn`2:>5<6sA>9o6saa1c94?7|@=8h7p`n0c83>4}O<;i0qco?c;295~N3:j1vbl>k:182M25k2wem=k50;3xL14d3tdj<k4?:0yK07e<ugk:<7>51zJ76f=zfh;:6=4>{I61g>{ii881<7?tH50`?xhf9:0;6<uG43a8ykg6<3:1=vF;2b9~jd72290:wE:=c:me40=83;pD9<l;|~yEFDsj:o6nl;bg::7xFGJr:vLM^t}AB
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$44640<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1:BA4=6;2;%<<:4158JJUSS2HNO^L2>3;2=51=6<3CE\XZ5AEFQF974294:7?64220166479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=8720?>=<03235>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>;7082<2<>03===59984:23?>>?3=548=;7C7?3GFI11=MLONA@C24>0FIHKJMLONA@CBED`<>zfs88zigf6;0(553>L:75:483620>>3:8;0595601;1?<0?30<=5;766028=30>>03=:479974:5`=>2@D[YY4rne\ahvsqV~c~h}g<983:73<13CE\XZ5psmd[`kw|pUdk|h^cpw`ts410;2?;49;KMTPR=x{elShctx]wlwct`Vdnklzj<983:4b<13CE\XZ5psmd[cskdV~c~h}g<983:72<13CE\XZ5psmd[cskdV~c~h}g_`qpawr;03:5>956:HLSQQ<wzfmTjxbc_ujqavnXflmjxh27:1<2<>?=G\^[YY4kauc\gjsi|521<3?m;88LQQVR\3xoSio{a^alqkr;03:5=o56:NWWTPR={UomyoPcnwmp9>=8720MLON6@CB55=FIHKJMLO9A@CBEDG?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6Jl;ECWEZQNL]BTMn5KAUC\SLBS@VH97IG9;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;1<L@K7=:08;EKB84>9?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1<L@K7>808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1716:FJF969?2NBN1??>69GMG:697=0HDL313<4?AOE4895;6JFB=37:2=CAK6:9394DH@?53803MCI0<917:FJF97?6>1OEO2>9?48@LD;97=0HDL321<4?AOE4;;5;6JFB=01:2=CAK69?394DH@?61803MCI0?;17:FJF9416>1OEO2=7?58@LD;:14<7IGM<3;=2>BNJ585;6JFB=13:<=CAK68=7>17:FJF9566?1OEO2<>79GMG:36?1OEO2:>79GMG:16?1OEO28>79GMG:?6?1OEO26>69GMUG;87=0HD^N<0<4?AOWI58556JFP@>0>5803MC[M1=17:FJTG:76>1OE]L31?58@LVE4;427IG_B=194;1<L@ZI0>09;EMB85803MEJ0<>17:FLE9766>1OCL2>2?58@JG;9:4<7IAN<06=3>BHI5;>2:5KO@>22;1<LFK7=:08;EMB84>9?2NDM1?6>79GKD:66>1OCL2=0?58@JG;:84<7IAN<30=3>BHI5882:5KO@>10;1<LFK7>808;EMB8709?2NDM1<8>69GKD:507=0HBO328<5?AIF4;4<7IAN<22==>BHI59:6=08;EMB8679>2NDM1=16:FLE929>2NDM1;16:FLE909>2NDM1916:FLE9>9>2NDM1717:FLEZTBO?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HBLPRDE4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>39F06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=1M>K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[<4LH48HJGCMM=0@BIFC@N7?ISS:=1GYY=;;MWW01=K]]??7A[[649NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?;;O3361=I999?7C??459M55333G;;:95A1157?K770=1E==7<;O320>H699>0B<?>4:L2572<F8;886@>1568J472<2D:=;:4N0340>H691>0B<?63:L261=I9;:?7C?=159M57433G;9?95A1367?K75==1E=?8;;O3131=I9;2?7C?=929M562<F89;86@>3068J455<2D:?>:4N0170>H6;190B<:;;O3746=I9<90B<8;;O35=6=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<;1E9?5A729M<45<F1887C6<3:L;06=I0<90B58<;O:47>H?0:1E44<4N818J<643G3:?6@6229M=65<F0>87C7:3:L:26=I1>90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB92[j7_OBB04AS@T13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO44?VTQIEUJ;6]]V@N\F1=TQGI?7Y\ZE59W]UC4l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR9VS_JPPOVQ_1[XPFXT84Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR?VS_JPPOVQ_3[XPFXT8:Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR<VS_JPPOVQ_2[XPFXT8;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR=VS_JPPOVQ_=[XPFXT88Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS8WT[_Q_NUPX6XY_G[U<SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW<UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^2ZW^XT\CZ][4_\\JTX<VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY4WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX2XYPZVZEX_U8]^ZLVZ4XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY4YZQUWYD_^V6R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q:2^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]65Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U?5Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q;8^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]73Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY3>Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U?9Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW=SPWS]SJQT\9TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T1\]TVZVI\[Q9QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS=WT[_Q_NUPX1XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP9PQXR^RMPW]1UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U9]^UQ[UHSZR=VSUA]_3]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ5^[RTXXG^YW5SPXNP\5Zi592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\cf:76;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^22b>S7'nxm"h gbz-gim'{nT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR8>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P17]l7d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=;Q`12;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8=Tc>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]?UVxnhxmj_ymq[41Xg89m7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3;5Z~h494:?45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\5=Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P183\|j:768927X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3:[j5a3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T8\]qaasdmVrd~R<?1^zl8586;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P21]l7`=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT><?Pxn>3:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS??Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;8:Sua30?61?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV89=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ=2^m0a>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9?<Qwo=2=7<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>>Q`299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^:15>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"h gbz-gim'~xT|cz}_ckm8=8582_;#j|i.sd,cf~)keas#z|Ppovq[goiW98;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ=219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^114>S7'nxm"h gbz-gim'~xT|cz}_ckm[1473\:$kh!rg-dg}(ddbr${Qnup\flhX=;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU=>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR9=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>1^m0<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U?Sb=6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ2Xg8937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^7\k6?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS8Q`12g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW?;Ttb2?>01;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV<Tc>k4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]?UVxnhxmj_ymq[27Xpf6;2<=7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ1Xg:o0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_93\|j:768937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^:\k6b<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4?Pxn>3:6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4Q`299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^:1a>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2;>00f?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)khxyuck{<1<1a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=3=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:668887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<266=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:11328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr36a=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l6`=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l576<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j63=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTe9>4U1-dvc(un&mg<#|k/fpkb+ton&GfyuQ}al]ueiocW`dSJ@K_6;\kZKRPV;9Sb?>279V4*aun'xm#jb?.sf,cwna&{bm#ob_wcomaYc9:30Y=!hrg,qb*ak8'xo#j|gf/pkb*tfeV|j`djPiov\CKBX?0UdS@[W_00\k4b<]9%l~k }f.eo4+tc'{kfSjPeo]j515<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[3?XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP68]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY11VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_7;\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS;7Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z0>WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]5=ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ99^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>3:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^az8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\g|:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Ze~4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xkp6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vir080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Tot29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rmv<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>;:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^nvp979;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[iss4;48<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xd|~7?3=?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[k67:<Ugyy2;>228Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rbzt=7=75=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUe<=<:_mww838482_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\hpr;?79;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_o2360Yk}}632>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vf~x171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYi898>Sua}<0<04>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pxnp?6;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSc>?24]{kw:46::0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Z~hz5>5?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]m4542Wqey080<0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Ttb|36?13?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWg:;>8Qwos>4:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^zlv9>9;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[}iu4048?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@m`mqcq[Zh78:8Tot2?>218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-Ngjkwi{UTb=><2^az8484;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xkp692>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYXf9:8>Rmv<2<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySR`?020\g|:36:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~x1>1359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww8484<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~7>3=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXWg:;??Qcuu>0:62<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQPn1206Zjr|5>5?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZYi8999Sa{{dh17?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTSc>?33]oqqbn9:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~xig=359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww`l54<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~oe9==;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXf9:;9Rmv<1<06>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]`}979;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&Ghc`~nr^l3453Xkp692><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Snw33?11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTb=>?5^az8184;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_o2340Yk}}6;2>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Sa{{<0<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]oqq:56:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]m4562We0>0<3:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/LaliuguWg:;<8Qcuu>7:64<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQa0126[issl`987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Anabp`p\j567=Vf~xig>329V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVd;<=;Pltvgm7543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(Ejef|l|Pn1231Zjr|mc8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZh789?T`xzki563?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,[ZY_DGGTSR>P_^O`khvfzVd;<=;Pltvgm17<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_0]\[HeheykySc>?04]oqqbn9=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[7YXWDida}o}_o2340Yk}}nb>9?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!P_^ZOJHYXW:UTS@m`mqcq[k678<Ugyyjf3538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-\[Z^KFDUTS9QP_LaliuguWg:;<8Qcuufj017<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_4]\[HeheykySR`?020\hprca=80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[3YXWDida}o}_^l3464Xd|~oe<:=;T2,cw`)zo%l`= xr.etev(`xo$|~}h _^][HKKXWV=TSRClolrbvZYi8999Sa{{dh076>S7'nxm"h gm2-sw)`hy%k}h!wsre+ZYXPEDFSRQ7_^]Ngjkwi{UTb=><2^nvpao4<;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&UTSUBAM^]\=ZYXEjef|l|P_o2377Yk}}nb8?;4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Pn12067`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>;:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;978<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$b=>=5=0=62=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*h78;?7?3<8;T2,cw`)zo%l`= xr.etev(`xo$|~}h n1211929:>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&d;<?;35?04?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,j565=5<5>:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"`?037?3;403\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(f9:99161269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo492_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[wusxf~856[?/fpe*w`(oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX0:UdS@[W_06\k475m2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"no}rxlfp969:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#mnrs{maq:66;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:78n7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6494986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:72<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?07?P6(o{l%~k!hl1,tv*apiz$|y} c0-u59599;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:090=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:44b3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw8585m2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"no}rxlfp979:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:56;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;878?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=0=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28686::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=6=576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6;;1^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;TKCJP82]l[HS_W8>Tc?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~=299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k2`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\575<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Zebxf~956[?/fpe*w`(oe:%{!}al]tvZciW`;Toh~`t^KMRZ6502_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idycz30?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}6:2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp949:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{<2<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~783<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjq:26;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at=4=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|d0:0=8:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkr;07>;7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4:4:=9=4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^7?3Qmde20a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~P4PQHNE];5ZiXe|rT>RAZT=7=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dW5SPGOF\<4YhWdsS?Q@UU>6:45b3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byU7]^EM@Z>6WfUfyuQ=_NWW8384n2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczT8\]DJAY?9VeTaxvP2^MVP9099:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{atZ:^[BHCW1;TcRczx^0\KPR;?79m7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4>4:?h5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw_=[XOGNT4<Q`_lw{[7YH]]6329<4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^743Q\W104?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U;>n5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[5Ycxf~9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\57e<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexR?Pdqmw62=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dS?<l;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqY5Wmzdx?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ55k2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczP3^fskq403\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQ;2b9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsW=Uo|bz=7:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrX=;i0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^7\`uis:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_704?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U<>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[=4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=2=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?31?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=1<12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm;7?3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc95>5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7;=78i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g5909:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3?3;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=:=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn:S<<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc9V89m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`4Y4:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3\07g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj>_40b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=R8=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl8U<>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7X0;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18585j2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3>2:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=<3<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~Th?2<>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9090=b:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;6>2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZb54?49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7:06;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18=85i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3]36d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi<P13c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9S?<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc:V99m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7Y3:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e0\17g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=_70b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo>R9=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;U3>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;878i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7979:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1?6;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk3=1=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=34?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?1;12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm97:3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;5=5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;078j7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7Z65i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd2]26d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=P23c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn8S><n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;V>9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`6Y2:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1\27g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj<_60b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?R688:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid;m7X> gsd-vc)jmd%ln` {mq]qehYulVoe>=5Z0.eqb+ta'dof#jlb.uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!]SU]EQIJXOLD946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`44?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk23:8Q5)`zo$yj"||tcnh+btt|m;%k}{_gwoh+tt|Vn8>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4949o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;978h7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56;h0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ65j2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\57d<]9%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^01g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi30?0`?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2>>3`8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR>=b:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb5:=1^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz>c:W3+bta&{l$~~zmlj-tvZtt|Vidao?k;T2,cw`)zo%yylck.uq[wusWjefn<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo6:?ZYXPEDFSRQ>0^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6?2<=m;^]\\IHJWVU:=RQPU1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ5Xlye8i5P_^ZOJHYXW88TSR[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]45Z~h494:8h5P_^ZOJHYXW89TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=9m4_^][HKKXWV;?SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT:4Q`_lw{[7YH]]9:?o5P_^ZOJHYXW8?TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\5Zbwg}8m7RQPXMLN[ZY6>VUTY=!hrg,qb*kbe&mia#zbp^pbiZtcWld:8n5P_^ZOJHYXW8=TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P53]l[}i;87;?o6QP_YNMIZYX91UTSX> gsd-vc)`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768>i7RQPXMLN[ZY61VUTY=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP<PQXR^RMPW]6UVRD^R6Po^zl8586<k1TSRVCNL]\[76XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT>RaPxn>3:42d3VUTTA@B_^]15ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV?;SbQwo=2=51e<WVUS@CCP_^01[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<20g=XWVRGB@QP_31\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX>VeTtb2?>06a?ZYXPEDFSRQ=4^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>c:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~j2lbjbQ<2y7267?<n`ldS><w500/bl`hWnoeio{os]u2Z4+FFDN CAAE2f`?coagV99t8?=,gkekZabflxjxb|Pv7]1(~eX`hyTeczPcmi>4)eX`hyT}{=1.`[wbXn{oz1<"l_dlbficXzz~Tt`l=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk964+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>5)eXlfSzgkti?06)eXezmdbRxnl<3/gZtcWmo{xe3:13.`[`tug{Ubbgklthmm[qwm4:'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;281&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:9%iT|ah_gwohZrozlycSl}|esv\rdj:=93'obcoogmpZhfel7mekaP33z657*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0:#c^ofijt~W}s{i0?#}378bl`hW:8s9<<Pfhdl[bcim{kcQy6^0\|vrX9;l0jdh`_fgmawgsg{U}:R<# Ykomk~'KFXN,Jkaescwkw&6:'8?7k{cle9jjocd|mhbxh|}d:kmn`es{kci|8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586i2zycjQiumn\pmtb{aUj~k}t=3=5d=wzfmTjxbc_ujqavnXizyn~y2=>0c8twi`Wog`Rzgrdqk[dutm{~7?3?n;qplcZ`rdeUdk|h^cpw`ts4=4:m6~}of]eqijX|axneQnsrgqp9399h1{~biPftno[qnumzbTm~}jru>5:4g<x{elSk{cl^vkv`uoWhyxiz37?3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[dutm{~743?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki1>11`9svjaXn|fgSyf}erj\j`af|l6:2<o4psmd[cskdV~c~h}g_ogdeqc;:7;j7}|`g^dvhiYs`{oxdR`jg`vf8686i2zycjQiumn\pmtb{aUeijo{e=6=5d=wzfmTjxbc_ujqavnXflmjxh2:>0c8twi`Wog`Rzgrdqk[kc`i}o7:3?n;qplcZ`rdeUdk|h^lfcdrb4>4:o6~}of]eqijX|axneQaefcwa9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf8=813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;97jPd`vb[firf}636=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su34?qkw'Vil#kgio^11|075&gmd56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpb2g?EF=<>1J7:51zQ55?2b?3<1=><kb6`96=6djrd?894>;o671?0<,=>969=l;|Q6b?2b?3<1=><kb6`96=6dj2Y::>4;f383>455lk=i6?6?cb9P1c<3n;0;6<==dc5a>7>7kl1o8h750;395~U193>n;785120gf2d=:1:hn6x[1d194?7=93;2nv]91;6f3?0=9:8on:l5292`f>"3:l0::95Y45196~s6>j0:7x?9d;28y!7c:38:7o:j9;291g<42<hpD9<l;[74>1}5n39;6<>5d;'5`?=<l30(9:>:5gg?j32>3:17d;;f;29?j36;3:17d;93;29?j2bm3:17b:j8;29?l3203:17d;=6;29 4b32<8m7c?k3;28?l35=3:1(<j;:40e?k7c;3;07d;=4;29 4b32<8m7c?k3;08?l35;3:1(<j;:40e?k7c;3907d;=2;29 4b32<8m7c?k3;68?l3593:1(<j;:40e?k7c;3?07d;=0;29 4b32<8m7c?k3;48?l36n3:1(<j;:40e?k7c;3=07d;>e;29 4b32<8m7c?k3;:8?l3413:1(<j;:461?k7c;3:07d;<8;29 4b32<>97c?k3;38?l34?3:1(<j;:461?k7c;3807d;<6;29 4b32<>97c?k3;18?l34=3:1(<j;:461?k7c;3>07d;<4;29 4b32<>97c?k3;78?l34;3:1(<j;:461?k7c;3<07d;<2;29 4b32<>97c?k3;58?l3493:1(<j;:461?k7c;3207d;:1;29?j2en3:1(<j;:5ff?k7c;3:07b:me;29 4b32=nn7c?k3;38?j2ek3:1(<j;:5ff?k7c;3807b:mb;29 4b32=nn7c?k3;18?j2ei3:1(<j;:5ff?k7c;3>07b:m9;29 4b32=nn7c?k3;78?j2e03:1(<j;:5ff?k7c;3<07b:m7;29 4b32=nn7c?k3;58?j2e>3:1(<j;:5ff?k7c;3207b:m5;29 4b32=nn7c?k3;;8?j2e<3:1(<j;:5ff?k7c;3k07b:m3;29 4b32=nn7c?k3;`8?j2e93:1(<j;:5ff?k7c;3i07b:m0;29 4b32=nn7c?k3;f8?j2fn3:1(<j;:5ff?k7c;3o07b:ne;29 4b32=nn7c?k3;d8?j2fl3:1(<j;:5ff?k7c;3;;76a;ab83>!7c<3>oi6`>d2825>=h<hh1<7*>d587``=i9m91=?54o5cb>5<#9m>18ik4n0f0>45<3f>j57>5$0f7>1bb3g;o?7?;;:m7e=<72-;o87:ke:l2`6<6=21d8n;50;&2`1<3ll1e=i=51798k1e3290/=i:54eg8j4b428=07b:l3;29 4b32=nn7c?k3;3;?>i3k;0;6)?k4;6ga>h6l:0:565`4b394?"6l=0?hh5a1e195d=<g=i;6=4+1e690ac<f8n86<l4;n6a`?6=,8n?69jj;o3g7?7d32e?n?4?:%3g0?2cm2d:h>4>d:9l0d1=83.:h94;dd9m5a5=9l10c9o9:18'5a2=<mo0b<j<:0d8?l20m3:1(<j;:5;g?k7c;3:07d:8d;29 4b32=3o7c?k3;38?l20j3:1(<j;:5;g?k7c;3807d:8a;29 4b32=3o7c?k3;18?l2013:1(<j;:5;g?k7c;3>07d:88;29 4b32=3o7c?k3;78?l20?3:1(<j;:5;g?k7c;3<07d:86;29 4b32=3o7c?k3;58?l20=3:1(<j;:5;g?k7c;3207d:84;29 4b32=3o7c?k3;;8?l20;3:1(<j;:5;g?k7c;3k07d:82;29 4b32=3o7c?k3;`8?l2083:1(<j;:5;g?k7c;3i07d:9f;29 4b32=3o7c?k3;f8?l21m3:1(<j;:5;g?k7c;3o07d:9d;29 4b32=3o7c?k3;d8?l21k3:1(<j;:5;g?k7c;3;;76g;6c83>!7c<3>2h6`>d2825>=n<?k1<7*>d587=a=i9m91=?54i54:>5<#9m>184j4n0f0>45<3`>=47>5$0f7>1?c3g;o?7?;;:k722<72-;o87:6d:l2`6<6=21b85:50;&2`1<31m1e=i=51798m1>4290/=i:548f8j4b428=07d:72;29 4b32=3o7c?k3;3;?>o3080;6)?k4;6:`>h6l:0:565f49294?"6l=0?5i5a1e195d=<a==m6=4+1e690<b<f8n86<l4;h64g?6=,8n?697k;o3g7?7d32c?;<4?:%3g0?2>l2d:h>4>d:9j030=83.:h94;9e9m5a5=9l10e98::18'5a2=<0n0b<j<:0d8?l33<3:17o:=d;295?6=8rB?>n5+1d;907b<g8n:6=44}c33`?6=93:1<vF;2b9'5`?=99n0c<>l:188yg31290jn7?;5;3:f~N3:j1Q9:4>6z0`>7d=:m08=7<j:3d975<683n1??4<3;f96g<5k38o6?k52g804?5628:1??4<3;'5`?=<li0(8o54dc8 36=<lh0(<ml:0f3?l37?3:1(<j;:42;?k7c;3:07d;?6;29 4b32<:37c?k3;38?l37=3:1(<j;:42;?k7c;3807d;?4;29 4b32<:37c?k3;18?l37;3:1(<j;:42;?k7c;3>07d;?2;29 4b32<:37c?k3;78?l3793:1(<j;:42;?k7c;3<07d;?0;29 4b32<:37c?k3;58?l2an3:1(<j;:42;?k7c;3207d:i0;29?l3283:17d;:3;29?j32>3:17d:id;29 4b32=ln7c?k3;28?l2ak3:1(<j;:5df?k7c;3;07d:ib;29 4b32=ln7c?k3;08?l2ai3:1(<j;:5df?k7c;3907d:i9;29 4b32=ln7c?k3;68?l2a03:1(<j;:5df?k7c;3?07d:i7;29 4b32=ln7c?k3;48?l2a>3:1(<j;:5df?k7c;3=07d:i5;29 4b32=ln7c?k3;:8?l33n3:17b:i3;29?l3693:1(<j;:431?k7c;3:07d;>0;29 4b32<;97c?k3;38?l37n3:1(<j;:431?k7c;3807d;?e;29 4b32<;97c?k3;18?l37l3:1(<j;:431?k7c;3>07d;?c;29 4b32<;97c?k3;78?l37j3:1(<j;:431?k7c;3<07d;?a;29 4b32<;97c?k3;58?l3713:1(<j;:431?k7c;3207b::6;29?j21:3:1(<j;:540?k7c;3:07b:91;29 4b32=<87c?k3;38?j2183:1(<j;:540?k7c;3807b::f;29 4b32=<87c?k3;18?j22m3:1(<j;:540?k7c;3>07b::d;29 4b32=<87c?k3;78?j22k3:1(<j;:540?k7c;3<07b::b;29 4b32=<87c?k3;58?j22i3:1(<j;:540?k7c;3207b;>3;29?l31;3:17d;>c;29 4b32<;o7c?k3;28?l36j3:1(<j;:43g?k7c;3;07d;>a;29 4b32<;o7c?k3;08?l3613:1(<j;:43g?k7c;3907d;>8;29 4b32<;o7c?k3;68?l36?3:1(<j;:43g?k7c;3?07d;>6;29 4b32<;o7c?k3;48?l36=3:1(<j;:43g?k7c;3=07d;>4;29 4b32<;o7c?k3;:8?j2bm3:17b:j8;29?l3203:17d::8;29?l35>3:1(<j;:40e?k7c;3:07d;=5;29 4b32<8m7c?k3;38?l35<3:1(<j;:40e?k7c;3807d;=3;29 4b32<8m7c?k3;18?l35:3:1(<j;:40e?k7c;3>07d;=1;29 4b32<8m7c?k3;78?l3583:1(<j;:40e?k7c;3<07d;>f;29 4b32<8m7c?k3;58?l36m3:1(<j;:40e?k7c;3207d;<9;29 4b32<>97c?k3;28?l3403:1(<j;:461?k7c;3;07d;<7;29 4b32<>97c?k3;08?l34>3:1(<j;:461?k7c;3907d;<5;29 4b32<>97c?k3;68?l34<3:1(<j;:461?k7c;3?07d;<3;29 4b32<>97c?k3;48?l34:3:1(<j;:461?k7c;3=07d;<1;29 4b32<>97c?k3;:8?j22=3:17d:i1;29?l2213:17d;94;29?j32:3:17b;:7;29?l3293:17b:mf;29 4b32=nn7c?k3;28?j2em3:1(<j;:5ff?k7c;3;07b:mc;29 4b32=nn7c?k3;08?j2ej3:1(<j;:5ff?k7c;3907b:ma;29 4b32=nn7c?k3;68?j2e13:1(<j;:5ff?k7c;3?07b:m8;29 4b32=nn7c?k3;48?j2e?3:1(<j;:5ff?k7c;3=07b:m6;29 4b32=nn7c?k3;:8?j2e=3:1(<j;:5ff?k7c;3307b:m4;29 4b32=nn7c?k3;c8?j2e;3:1(<j;:5ff?k7c;3h07b:m1;29 4b32=nn7c?k3;a8?j2e83:1(<j;:5ff?k7c;3n07b:nf;29 4b32=nn7c?k3;g8?j2fm3:1(<j;:5ff?k7c;3l07b:nd;29 4b32=nn7c?k3;33?>i3ij0;6)?k4;6ga>h6l:0:=65`4``94?"6l=0?hh5a1e1957=<g=kj6=4+1e690ac<f8n86<=4;n6b=?6=,8n?69jj;o3g7?7332e?m54?:%3g0?2cm2d:h>4>5:9l0f3=83.:h94;dd9m5a5=9?10c9m;:18'5a2=<mo0b<j<:058?j2d;3:1(<j;:5ff?k7c;3;376a;c383>!7c<3>oi6`>d282=>=h<j;1<7*>d587``=i9m91=l54o5a3>5<#9m>18ik4n0f0>4d<3f>ih7>5$0f7>1bb3g;o?7?l;:m7f7<72-;o87:ke:l2`6<6l21d8l950;&2`1<3ll1e=i=51d98k1g1290/=i:54eg8j4b428l07d:8e;29 4b32=3o7c?k3;28?l20l3:1(<j;:5;g?k7c;3;07d:8b;29 4b32=3o7c?k3;08?l20i3:1(<j;:5;g?k7c;3907d:89;29 4b32=3o7c?k3;68?l2003:1(<j;:5;g?k7c;3?07d:87;29 4b32=3o7c?k3;48?l20>3:1(<j;:5;g?k7c;3=07d:85;29 4b32=3o7c?k3;:8?l20<3:1(<j;:5;g?k7c;3307d:83;29 4b32=3o7c?k3;c8?l20:3:1(<j;:5;g?k7c;3h07d:80;29 4b32=3o7c?k3;a8?l21n3:1(<j;:5;g?k7c;3n07d:9e;29 4b32=3o7c?k3;g8?l21l3:1(<j;:5;g?k7c;3l07d:9c;29 4b32=3o7c?k3;33?>o3>k0;6)?k4;6:`>h6l:0:=65f47c94?"6l=0?5i5a1e1957=<a=<26=4+1e690<b<f8n86<=4;h65<?6=,8n?697k;o3g7?7332c?::4?:%3g0?2>l2d:h>4>5:9j0=2=83.:h94;9e9m5a5=9?10e96<:18'5a2=<0n0b<j<:058?l2?:3:1(<j;:5;g?k7c;3;376g;8083>!7c<3>2h6`>d282=>=n<1:1<7*>d587=a=i9m91=l54i55e>5<#9m>184j4n0f0>4d<3`><o7>5$0f7>1?c3g;o?7?l;:k734<72-;o87:6d:l2`6<6l21b8;850;&2`1<31m1e=i=51d98m102290/=i:548f8j4b428l07d::7;29?j33l3:1(<j;:46f?k7c;3:07b;;c;29 4b32<>n7c?k3;38?j33j3:1(<j;:46f?k7c;3807b;;a;29 4b32<>n7c?k3;18?j3313:1(<j;:46f?k7c;3>07b;;8;29 4b32<>n7c?k3;78?j33?3:1(<j;:46f?k7c;3<07b;;6;29 4b32<>n7c?k3;58?j33=3:1(<j;:46f?k7c;3207b;91;29 4b32<<97c?k3;28?j3183:1(<j;:441?k7c;3;07b;:f;29 4b32<<97c?k3;08?j32m3:1(<j;:441?k7c;3907b;:d;29 4b32<<97c?k3;68?j32k3:1(<j;:441?k7c;3?07b;:b;29 4b32<<97c?k3;48?j32i3:1(<j;:441?k7c;3=07b;:9;29 4b32<<97c?k3;:8?j2a<3:17d:jf;29?j21<3:17d;;4;29?j32=3:17o:<f;295?6=8r.:i44>0e9K06c<@=8h7b??c;29?xd3<90;6<4?:1y'5`?=<;n0D9=j;I61g>i6l80;66sm2d594?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg4b>3:187>50z&2a<<68h1C8>k4H50`?!76l3?0e8650;9j27<722c:h;4?::m2`2<722wi>h750;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb2`3>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd4io0;694?:1y'5`?=99k0D9=j;I61g>"69m0>7d;7:188m34=831b=i850;9l5a1=831vn9?=:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c616?6=;3:1<v*>e88242=O<:o0D9<l;I76?!71i3;n46*>1e82?l3?2900e;<50;9l5a1=831vn9<8:180>5<7s-;n57??7:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n>;0;66a>d683>>{e<8?1<7:50;2x 4c>28:j7E:<e:J76f=O=<1/=;o51d:8 47c2<1b954?::k56?6=3`;o:7>5;n3g3?6=3th?><4?:283>5}#9l31==94H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l052900c<j8:188yg25>3:1?7>50z&2a<<68>1C8>k4H50`?M323-;=m7?j8:&25a<63`?36=44i7094?=h9m=1<75rb537>5<3290;w)?j9;33e>N3;l1C8?m4$04b>4c?3A?>7)?>d;78m0>=831b:?4?::k2`3<722e:h:4?::a04d=83>1<7>t$0g:>46f3A>8i6F;2b9'54b==2c>47>5;h41>5<<a8n=6=44o0f4>5<<uk>:m7>54;294~"6m00:<l5G42g8L14d3-;:h7;4i4:94?=n>;0;66g>d783>>i6l>0;66sm40;94?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg2583:197>50z&2a<<68k1C8>k4H50`?M323-;=m7?j8:k6<?6=3`?26=44i7094?=n9m<1<75`1e594?=zj=;m6=4;:183!7b13;;m6F;3d9K07e<,8;o685f5983>>o1:3:17d?k6;29?j7c?3:17pl;1d83>1<729q/=h7511c8L15b3A>9o6*>1e86?l3?2900e;<50;9j5a0=831d=i950;9~f174290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;%32`?3<a<21<75f6383>>o6l?0;66a>d683>>{e;1n1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd40j0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg5?j3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f6>f290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a7=c=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`0e5<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c1:b?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb2;f>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm38f94?2=83:p(<k6:04a?M24m2B?>n5f5c83>>o1=3:17d?j0;29?j7dn3:17pl<a083>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo<l3;290?6=8r.:i44>6c9K06c<@=8h7d;m:188m33=831b=h>50;9l5f`=831vn?m=:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi>n?50;694?6|,8o26<8m;I60a>N3:j1b9o4?::k51?6=3`;n<7>5;n3`b?6=3th9o=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk8h87>54;294~"6m00::o5G42g8L14d3`?i6=44i7794?=n9l:1<75`1bd94?=zj;n=6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e:m?1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd5l=0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg4c;3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f7b0290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a775=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm33094?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi???50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;;:1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74`=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30g94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<j50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;8i1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74d=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30394?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<>50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9l1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75c=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31f94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?=m50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9h1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75g=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31;94?3=83:p(<k6:02a?M24m2B?>n5+10f91>o203:17d;6:188m34=831b=i850;9l5a1=831vn>:<:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?9650;194?6|,8o26<8:;I60a>N3:j1/=<j5279'5a3=;?<0e<>i:188m4772900c<mi:188yg53l3:1?7>50z&2a<<6><1C8>k4H50`?!76l330(<j::245?l77n3:17d?>0;29?j7dn3:17pl<4383>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=;7;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39=96g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;=i1<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d48020=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb262>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm35494?3=83:p(<k6:044?M24m2B?>n5+10f962=#9m?1?;:4i02e>5<<a8;;6=44i032>5<<a8;96=44o0ae>5<<uk9?n7>55;294~"6m00:::5G42g8L14d3-;:h7:4$0f6>6033`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`005<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c171?6==3:1<v*>e88222=O<:o0D9<l;%32`?403-;o97=93:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?9o50;794?6|,8o26<88;I60a>N3:j1/=<j54:&2`0<4>:1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f65a290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a712=83?1<7>t$0g:>4003A>8i6F;2b9'54b=:>1/=i;53708m46a2900e<??:188m4762900e<?=:188k4ea2900qo=;9;291?6=8r.:i44>669K06c<@=8h7)?>d;68 4b22:<97d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd4=;0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg52?3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7)?k5;155>o68o0;66g>1183>>i6ko0;66sm34a94?5=83:p(<k6:046?M24m2B?>n5+10f9=>"6l<08:<5f11d94?=n98:1<75`1bd94?=zj:?:6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e;<<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>:5+1e79736<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c16f?6==3:1<v*>e88222=O<:o0D9<l;%32`?2<,8n>6>8?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th89=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk9>97>55;294~"6m00:::5G42g8L14d3-;:h7<8;%3g1?52n2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a70g=83?1<7>t$0g:>4003A>8i6F;2b9'54b=<2.:h84<5g9j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>:i:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?8:50;794?6|,8o26<88;I60a>N3:j1/=<j5269'5a3=;<o0e<>i:188m4772900e<?>:188m4752900c<mi:188yg5213:197>50z&2a<<6>>1C8>k4H50`?!76l3>0(<j::27f?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<4d83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=:3;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39>h6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;<21<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d4801a=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb21f>5<4290;w)?j9;60`>N3;l1C8?m4i4`94?=n9?81<75`1bd94?=zj:9o6=4<:183!7b13>8h6F;3d9K07e<a<h1<75f17094?=h9jl1<75rb20`>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm32a94?5=83:p(<k6:51g?M24m2B?>n5f5c83>>o6>;0;66a>cg83>>{e;;h1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd4;k0;6>4?:1y'5`?=<:n0D9=j;I61g>o2j3:17d?92;29?j7dn3:17pl<2`83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=<a;297?6=8r.:i44;3e9K06c<@=8h7d;m:188m4052900c<mi:188yg5513:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f65>29086=4?{%3f=?24l2B??h5G43a8m0d=831b=;<50;9l5f`=831vn><7:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?>650;194?6|,8o269=k;I60a>N3:j1b9o4?::k227<722e:ok4?::a771=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`072<72:0;6=u+1d;906b<@=9n7E:=c:k6f?6=3`;=>7>5;n3`b?6=3th8>;4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk98:7>53;294~"6m00??i5G42g8L14d3`?i6=44i041>5<<g8im6=44}c111?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb207>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm36;94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f61?290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk9<;7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl<7783>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a723=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj:=?6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo=83;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`037<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e;>;1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn9>l:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:i6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f16f290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb52:>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>7:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:<6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f161290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb526>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>;:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:lj6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`>290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d;>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h8:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l=6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`2290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d7>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h<:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l96=4::183!7b13;;n6F;3d9K07e<,8;o685f5983>>o213:17d8=:188m4b12900c<j8:188yg5b03:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f3?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j6;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n97>55;294~"6m00:<45G42g8L14d3-;:h7?4i4:94?=n=00;66g:e;29?l052900c<j8:188yg5b<3:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f7?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j2;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n=7>55;294~"6m00:<o5G42g8L14d3-;:h7;4i4:94?=n=00;66g92;29?l7c>3:17b?k7;29?xd4m90;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`3<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<d483>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8h94?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4l;0;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`5<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<cd83>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8on4?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4kh0;684?:1y'5`?=99h0D9=j;I61g>"69m0>7d;7:188m0?=831b:?4?::k2`3<722e:h:4?::a7f>=83?1<7>t$0g:>46e3A>8i6F;2b9'54b==2c>47>5;h7:>5<<a?81<75f1e494?=h9m=1<75rb357>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg40;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9;?4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm26394?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f717290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8=j7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=6d83>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a63b=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;<h6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<>b;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`15d<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:831<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn??7:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c023?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd59?0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi><;50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb337>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg46;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9no4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm2cc94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7d>290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8i47>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=b683>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6g0=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;h>6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<m4;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1f6<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:?81<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?8>:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c054?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5=o0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>8k50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb37g>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg42k3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th99o4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm24c94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7??290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd51>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;3=6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9584?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?7;:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=9283>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb3;1>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1=4<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f7?7290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;o0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;9n6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9?i4?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?=l:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=3c83>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb31b>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`17<<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f75?290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;8o6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<=c;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`16g<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:;k1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?<6:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c01<?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5:>0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>?850;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb306>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg4?>3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9484?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm29694?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7>4290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk83>7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=8083>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6=6=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;=m6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<8e;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3`;;j7>5;h324?6=3f;hj7>5;|`1a=<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3-;o97:;c:k24c<722c:==4?::m2gc<722wi?o?50;194?6|,8o26<8:;I60a>N3:j1/=<j59:k24c<722c:==4?::m2gc<722wi8=h50;694?6|,8o26<89;I60a>N3:j1/=<j5589'5a3=<=i0e<>i:188m4772900e<?>:188k4ea2900qo<j4;291?6=8r.:i44>669K06c<@=8h7)?>d;331>o68o0;66g>1183>>o6980;66g>1383>>i6ko0;66sm39794?0=83:p(<k6:04;?M24m2B?>n5+10f954=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj=8?6=4<:183!7b13;=96F;3d9K07e<,8;o6<<4i02e>5<<a8;;6=44o0ae>5<<uk>9m7>53;294~"6m00::85G42g8L14d3-;:h7?=;h33b?6=3`;:<7>5;n3`b?6=3th85;4?:483>5}#9l31=;94H51f?M25k2.:=i4=7:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?4:50;794?6|,8o26<88;I60a>N3:j1/=<j5269j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>7=:186>5<7s-;n57?97:J77`=O<;i0(<?k:358m46a2900e<??:188m4762900e<?=:188k4ea2900qo=60;291?6=8r.:i44>669K06c<@=8h7)?>d;04?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<9983>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm3`;94?3=83:p(<k6:044?M24m2B?>n5+10f960=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb2c4>5<2290;w)?j9;353>N3;l1C8?m4$03g>73<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c1b1?6==3:1<v*>e88222=O<:o0D9<l;%32`?423`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`0e6<72<0;6=u+1d;9531<@=9n7E:=c:&25a<5=2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a7dd=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f7ed290>6=4?{%3f=?71?2B??h5G43a8 47c2;?0e<>i:188m4772900e<?>:188m4752900c<mi:188yg4di3:197>50z&2a<<6>>1C8>k4H50`?!76l38>7d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd5k10;684?:1y'5`?=9?=0D9=j;I61g>"69m0996g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:j<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>85f11d94?=n98:1<75f10394?=n9881<75`1bd94?=zj;in6=4<:183!7b13;=96F;3d9K07e<,8;o6?84i02e>5<<a8;;6=44o0ae>5<<uk8oj7>55;294~"6m00:::5G42g8L14d3-;:h7<;;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th9hi4?:483>5}#9l31=;94H51f?M25k2.:=i4=4:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>il50;794?6|,8o26<88;I60a>N3:j1/=<j5249j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn?j6:186>5<7s-;n57?97:J77`=O<;i0(<?k:368m46a2900e<??:188m4762900e<?=:188k4ea2900qo<j1;297?6=8r.:i44>649K06c<@=8h7)?>d;05?l77n3:17d?>0;29?j7dn3:17pl=fb83>1<729q/=h751748L15b3A>9o6*>1e816>o68o0;66g>1183>>o6980;66a>cg83>>{e;9<1<7950;2x 4c>28<27E:<e:J76f=#98n1?85f11d94?=n98:1<75f10394?=n9881<75f10194?=n98>1<75`1bd94?=zj::?6=49:183!7b13;=46F;3d9K07e<,8;o6>:4i02e>5<<a8;;6=44i032>5<<a8;96=44i030>5<<g8im6=44}c0f`?6=;3:1<v*>e88220=O<:o0D9<l;%32`?7>3-;o97:;a:k24c<722c:==4?::m2gc<722wi>hk50;694?6|,8o26<89;I60a>N3:j1/=<j51e9'5a3=<=k0e<>i:188m4772900e<?>:188k4ea2900qo=me;290?6=8r.:i44>679K06c<@=8h7)?>d;01?l77n3:17d?>0;29?l7693:17b?lf;29?xd4k<0;6:4?:1y'5`?=9?30D9=j;I61g>"69m0896g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>cg83>>{e;j91<7850;2x 4c>28<37E:<e:J76f=#98n1?95f11d94?=n98:1<75f10394?=n9881<75f10194?=h9jl1<75rb36b>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<a8:m6=44i033>5<<g8im6=44}c07g?6=<3:1<v*>e88223=O<:o0D9<l;%32`?4?3-;o97:;9:k24c<722c:==4?::k254<722e:ok4?::a6d>=8391<7>t$0g:>4023A>8i6F;2b9'54b=901b==h50;9j546=831d=nh50;9~f7gf290?6=4?{%3f=?71>2B??h5G43a8 47c2;20(<j::56;?l77n3:17d?>0;29?l7693:17b?lf;29?xd6n00;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d48715=n99l1<75f10294?=h9jl1<75rb0d;>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69;?;h33b?6=3`;:<7>5;n3`b?6=3th:j:4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3==1b==h50;9j546=831d=nh50;9~f4`129086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::577?l77n3:17d?>0;29?j7dn3:17pl>f483>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9>5f11d94?=n98:1<75`1bd94?=zj8l?6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1343`;;j7>5;h324?6=3f;hj7>5;|`2b6<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4g9j55`=831b=<>50;9l5f`=831vn<h=:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>m7d??f;29?l7683:17b?lf;29?xd6n80;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d4870`=n99l1<75f10294?=h9jl1<75rb0d3>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69:j;h33b?6=3`;:<7>5;n3`b?6=3th:ik4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3=;1b==h50;9j546=831d=nh50;9~f4cb29086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::571?l77n3:17d?>0;29?j7dn3:17pl>ee83>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9<5f11d94?=n98:1<75`1bd94?=zj8oh6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1363`;;j7>5;h324?6=3f;hj7>5;|`2ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4e9j55`=831b=<>50;9l5f`=831vn<kn:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>o7d??f;29?l7683:17b?lf;29?xd5<m0;684?:1y'5`?=9?=0D9=j;I61g>"69m0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:=l1<7850;2x 4c>28<37E:<e:J76f=#98n1>45+1e7901?<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o0ae>5<<uk8>=7>57;294~"6m00::45G42g8L14d3-;:h7?l;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th9mo4?:483>5}#9l31=;94H51f?M25k2.:=i4>b:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>lj50;494?6|,8o26<87;I60a>N3:j1/=<j5289'5a3=<=20e<>i:188m4772900e<?>:188m4752900e<?<:188k4ea2900qo<nf;293?6=8r.:i44>689K06c<@=8h7)?>d;3`?l77n3:17d?>0;29?l7693:17d?>2;29?l76;3:17d?>4;29?j7dn3:17pl<0983>6<729q/=h751778L15b3A>9o6*>1e817>o68o0;66g>1183>>i6ko0;66sm31594?1=83:p(<k6:04:?M24m2B?>n5+10f970=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb2a4>5<4290;w)?j9;351>N3;l1C8?m4$03g>75<a8:m6=44i033>5<<g8im6=44}c1`2?6=?3:1<v*>e8822<=O<:o0D9<l;%32`?523`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;hj7>5;|`11=<72>0;6=u+1d;953?<@=9n7E:=c:&25a<6k2c:<k4?::k255<722c:=<4?::k257<722c:=>4?::k251<722e:ok4?::a6d3=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=9j1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f734290<6=4?{%3f=?7112B??h5G43a8 47c28i0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg42>3:187>50z&2a<<6>?1C8>k4H50`?!76l3;j7d??f;29?l7683:17d?>1;29?j7dn3:17pl=5483>2<729q/=h7517;8L15b3A>9o6*>1e81e>o68o0;66g>1183>>o6980;66g>1383>>o69:0;66g>1583>>i6ko0;66sm2c394?1=83:p(<k6:04:?M24m2B?>n5+10f95f=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb3c0>5<3290;w)?j9;352>N3;l1C8?m4$03g>4g<a8:m6=44i033>5<<a8;:6=44o0ae>5<<uk8j>7>57;294~"6m00::45G42g8L14d3-;:h7<n;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th?>54?:583>5}#9l31=;84H51f?M25k2.:=i4>019j55`=831b=<>50;9j547=831d=nh50;9~f142290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;h7;>5<<a?81<75f1e494?=h9m=1<75rb2:0>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd40=0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0j7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?j7dn3:17pl<2e83>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm33g94?5=83:p(<k6:046?M24m2B?>n5+10f963=n99l1<75f10294?=h9jl1<75rb20e>5<4290;w)?j9;351>N3;l1C8?m4$03g>70<a8:m6=44i033>5<<g8im6=44}c104?6=;3:1<v*>e88220=O<:o0D9<l;%32`?413`;;j7>5;h324?6=3f;hj7>5;|`074<72:0;6=u+1d;9533<@=9n7E:=c:&25a<5>2c:<k4?::k255<722e:ok4?::a764=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f65429086=4?{%3f=?71=2B??h5G43a8 47c2;<0e<>i:188m4772900c<mi:188yg54<3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7d??f;29?l7683:17b?lf;29?xd4;<0;6>4?:1y'5`?=9??0D9=j;I61g>"69m09:6g>0g83>>o6990;66a>cg83>>{e:on1<7;50;2x 4c>28<<7E:<e:J76f=#98n1=h5+1e79010<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c134?6=>3:1<v*>e8822==O<:o0D9<l;%32`?7a3-;o97:;6:k24c<722c:==4?::k254<722c:=?4?::k256<722e:ok4?::a754=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=:91b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f6e6290<6=4?{%3f=?7112B??h5G43a8 47c2;:0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg5e:3:1?7>50z&2a<<6><1C8>k4H50`?!76l330e<>i:188m4772900c<mi:188yg5c;3:187>50z&2a<<6>?1C8>k4H50`?!76l3;;<6g>0g83>>o6990;66g>1083>>i6ko0;66sm3b;94?5=83:p(<k6:046?M24m2B?>n5+10f95<=#9m?189l4i02e>5<<a8;;6=44o0ae>5<<uk9o=7>57;294~"6m00::45G42g8L14d3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th8oi4?:483>5}#9l31=;94H51f?M25k2.:=i4>e:&2`0<3<>1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f6ee290?6=4?{%3f=?71>2B??h5G43a8 47c28n0(<j::56a?l77n3:17d?>0;29?l7693:17b?lf;29?xd4ko0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0:j6*>d48702=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj;oh6=4=:183!7b13;==6F;3d9K07e<a8:n6=44o0ae>5<<uk9i87>52;294~"6m00::<5G42g8L14d3`;;i7>5;n3`b?6=3th?<i4?:ca94?6|,8o26<j7;I60a>N3:j1Q9:4l{01950<b2>036n4>7;37>4>=n3;=6o4rn71961=i>=0986`k3;28jc3=82.:<>4>079'552=9l20(<k::39'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<k53:&25c<43-;9<7=4$002>6=#9;81?6*>2280?!75<390(<<::29'570=;2.:>:4<;%31<?5<,8826>5+13c97>"6:k087)?=c;18 44c2:1/=?k53:&26c<43-;8<7=4$012>6=#9:81?6*>3280?!74<390(<=::29'560=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=;>51bg8 4bc28o<7)?ke;7:?!7cn3?27)?j1;77?!7b:3;n86*>5d81?!72n380(9=n:51:?!24j3>856*>e781?l7c13:17d;l:188m4652900e<jl:188m0b=831b=io50;9j5ad=831b==?50;9jef<72-;o87om;o3g7?6<3`kj6=4+1e69eg=i9m91=65fa883>!7c<3ki7c?k3;08?lg?290/=i:5ac9m5a5=;21b8>=50;&2`1<3;;1e=i=50:9j067=83.:h94;339m5a5=921b8>>50;&2`1<3;;1e=i=52:9j07`=83.:h94;339m5a5=;21doo4?:%3g0?ef3g;o?7>4;na:>5<#9m>1ol5a1e195>=hk>0;6)?k4;ab?k7c;3807bm9:18'5a2=kh1e=i=53:9lg0<72-;o87mn;o3g7?2<3fi?6=4+1e69gd=i9m91965`c283>!7c<3ij7c?k3;48?je5290/=i:5c`9m5a5=?21do<4?:%3g0?ef3g;o?764;na3>5<#9m>1ol5a1e19=>=hjo0;6)?k4;ab?k7c;3k07blj:18'5a2=kh1e=i=5b:9lff<72-;o87mn;o3g7?e<3fhi6=4+1e69gd=i9m91h65`b`83>!7c<3ij7c?k3;g8?jd>290/=i:5c`9m5a5=n21dn54?:%3g0?ef3g;o?7??;:ma3?6=,8n?6no4n0f0>47<3fh=6=4+1e69gd=i9m91=?54oc794?"6l=0hm6`>d2827>=hj=0;6)?k4;ab?k7c;3;?76am3;29 4b32jk0b<j<:078?jb5290/=i:5c`9m5a5=9?10ci?50;&2`1<di2d:h>4>7:9l`5<72-;o87mn;o3g7?7?32ehj7>5$0f7>fg<f8n86<74;naf>5<#9m>1ol5a1e195d=<gjn1<7*>d58`e>h6l:0:n65`cb83>!7c<3ij7c?k3;3`?>id03:1(<j;:bc8j4b428n07blk:18'5a2=kh1e=i=51d98kg4=83.:h94la:l2`6<6n21b?o4?:%3g0?5f3g;o?7>4;h1:>5<#9m>1?l5a1e195>=n;10;6)?k4;1b?k7c;3807d=8:18'5a2=;h1e=i=53:9j01<72-;o87=n;o3g7?2<3`>86=4+1e697d=i9m91965f4383>!7c<39j7c?k3;48?l26290/=i:53`9m5a5=?21b8=4?:%3g0?5f3g;o?764;h1e>5<#9m>1?l5a1e19=>=n;l0;6)?k4;1b?k7c;3k07d=k:18'5a2=;h1e=i=5b:9j7f<72-;o87=n;o3g7?e<3`9=6=4+1e697d=i9m91h65f4`83>!7c<3>27c?k3;28?l2?290/=i:5489m5a5=921b8:4?:%3g0?2>3g;o?7<4;h65>5<#9m>1845a1e197>=n=:0;6)?k4;6:?k7c;3>07d;=:18'5a2=<01e=i=55:9j14<72-;o87:6;o3g7?0<3`?;6=4+1e690<=i9m91;65f4g83>!7c<3>27c?k3;:8?l2b290/=i:5489m5a5=121b8i4?:%3g0?2>3g;o?7o4;h6`>5<#9m>1845a1e19f>=n<k0;6)?k4;6:?k7c;3i07d:::18'5a2=<01e=i=5d:9je5<72-;o877i;o3g7?6<3`3n6=4+1e69=c=i9m91=65f9b83>!7c<33m7c?k3;08?l?e290/=i:59g9m5a5=;21b5l4?:%3g0??a3g;o?7:4;h;:>5<#9m>15k5a1e191>=n110;6)?k4;;e?k7c;3<07d78:18'5a2=1o1e=i=57:9j=3<72-;o877i;o3g7?><3`3>6=4+1e69=c=i9m91565f9583>!7c<33m7c?k3;c8?l?4290/=i:59g9m5a5=j21b5<4?:%3g0??a3g;o?7m4;h;3>5<#9m>15k5a1e19`>=n0o0;6)?k4;;e?k7c;3o07d6j:18'5a2=1o1e=i=5f:9j<a<72-;o877i;o3g7?7732c3o7>5$0f7><`<f8n86<?4;h:a>5<#9m>15k5a1e1957=<a1k1<7*>d58:b>h6l:0:?65f8883>!7c<33m7c?k3;37?>o?03:1(<j;:8d8j4b428?07do8:18'5a2=1o1e=i=51798md0=83.:h946f:l2`6<6?21bm84?:%3g0??a3g;o?7?7;:kb0?6=,8n?64h4n0f0>4?<3`k86=4+1e69=c=i9m91=l54i`094?"6l=02j6`>d282f>=ni80;6)?k4;;e?k7c;3;h76g6d;29 4b320l0b<j<:0f8?l?5290/=i:59g9m5a5=9l10e5950;&2`1<>n2d:h>4>f:9lbd<72-;o87h6;o3g7?6<3fl36=4+1e69b<=i9m91=65`f683>!7c<3l27c?k3;08?j`1290/=i:5f89m5a5=;21bn<4?:%3g0?d73g;o?7>4;hce>5<#9m>1n=5a1e195>=nil0;6)?k4;`3?k7c;3807dok:18'5a2=j91e=i=53:9lbc<72-;o87hj;o3g7?6<3flo6=4+1e69b`=i9m91=65`fb83>!7c<3ln7c?k3;08?j`e290/=i:5fd9m5a5=;21dii4?:%3g0?cd3g;o?7>4;nga>5<#9m>1in5a1e195>=hm00;6)?k4;g`?k7c;3807bk7:18'5a2=mj1e=i=53:9la2<72-;o87kl;o3g7?2<3fo=6=4+1e69af=i9m91965`e483>!7c<3oh7c?k3;48?jc3290/=i:5eb9m5a5=?21di>4?:%3g0?cd3g;o?764;ng1>5<#9m>1in5a1e19=>=hm80;6)?k4;g`?k7c;3k07bk?:18'5a2=mj1e=i=5b:9l``<72-;o87kl;o3g7?e<3fno6=4+1e69af=i9m91h65`db83>!7c<3oh7c?k3;g8?jbe290/=i:5eb9m5a5=n21dhl4?:%3g0?cd3g;o?7??;:mg=?6=,8n?6hm4n0f0>47<3fn36=4+1e69af=i9m91=?54oe594?"6l=0no6`>d2827>=hl?0;6)?k4;g`?k7c;3;?76ak5;29 4b32li0b<j<:078?j`3290/=i:5eb9m5a5=9?10ck=50;&2`1<bk2d:h>4>7:9lb7<72-;o87kl;o3g7?7?32em=7>5$0f7>`e<f8n86<74;nd3>5<#9m>1in5a1e195d=<gll1<7*>d58fg>h6l:0:n65`ed83>!7c<3oh7c?k3;3`?>ibi3:1(<j;:da8j4b428n07bji:18'5a2=mj1e=i=51d98ka2=83.:h94jc:l2`6<6n21b8>650;&2`1<3;>1e=i=50:9j060=83.:h94;369m5a5=921b8>;50;&2`1<3;>1e=i=52:9j062=83.:h94;369m5a5=;21b;k4?:%3g0?1b3g;o?7>4;h5g>5<#9m>1;h5a1e195>=n?k0;6)?k4;5f?k7c;3807d9n:18'5a2=?l1e=i=53:9j3<<72-;o879j;o3g7?2<3`=36=4+1e693`=i9m91965f7683>!7c<3=n7c?k3;48?l11290/=i:57d9m5a5=?21b;84?:%3g0?1b3g;o?764;h57>5<#9m>1;h5a1e19=>=n?:0;6)?k4;5f?k7c;3k07d9=:18'5a2=?l1e=i=5b:9j35<72-;o879j;o3g7?e<3`<m6=4+1e693`=i9m91h65f6d83>!7c<3=n7c?k3;g8?l0c290/=i:57d9m5a5=n21b:n4?:%3g0?1b3g;o?7??;:k5f?6=,8n?6:k4n0f0>47<3`<j6=4+1e693`=i9m91=?54i7;94?"6l=0<i6`>d2827>=n>10;6)?k4;5f?k7c;3;?76g97;29 4b32>o0b<j<:078?l>1290/=i:57d9m5a5=9?10e5;50;&2`1<0m2d:h>4>7:9j<1<72-;o879j;o3g7?7?32c3?7>5$0f7>2c<f8n86<74;h:1>5<#9m>1;h5a1e195d=<a1;1<7*>d584a>h6l:0:n65f8183>!7c<3=n7c?k3;3`?>o0k3:1(<j;:6g8j4b428n07d9>:18'5a2=?l1e=i=51d98m30=83.:h948e:l2`6<6n21v97j:181[20m27?<i48f:p0<e=838pR99k;<63`?1c3ty?5l4?:3y]02d<5=:o6:l4}r6:=?6=:rT?;l5241f93d=z{=336=4={_64=>;38m0<56s|48594?4|V==370:?d;5;?xu31?0;6?uQ4658916c2>=0q~:65;296~X3??168=j5779~w1?32909wS:85:?74a<0=2wx84=50;0xZ11334>;h79;;|q7=7<72;qU8:=4=52g>25<uz>2=7>52z\737=:<9n1;?5rs5:e>5<5sW><<63;0e844>{t<1o1<7<t^54e?827l3<m7p};8e83>7}Y<?o019>k:7g8yv2?k3:1>vP;6e9>05b=>m1v96m:181[21k27?<i49c:p0=g=838pR98m;<63`?0e3ty?444?:3y]03g<5=:o6;o4}r6;<?6=:rT?:45241f92<=z{=2<6=4={_65<>;38m0=46s|49494?4|V=<<70:?d;44?xu3i<0;6?uQ4968916c21<0q~:n4;296~X30:168=j5849~w1g42909wS:72:?74a<?<2wx8l<50;0xZ1>634>;h76<;|q7e4<72;qU85>4=52g>=4<uz>j<7>52z\73c=:<9n14<5rs5;e>5<5sW><o63;0e8;4>{t<0h1<7<t^552?827l3=h7p};9183>7}Y<?<019>k:638yv2?=3:1>vP;649>05b=>?1v8=?:180[35>2789:4>0g9>70e=98:0q~;=e;297~X2:<16?8851038963e28;97p}:2e83>6}Y=;>01>;9:02e?852j3;:=6s|53a94?5|V<8870=:5;325>;4=h0:=?5rs40a>5<4sW?9>63<54824c=:;<k1=<?4}r71e?6=;rT>><523469547<5:?26<?=;|q66<<72:qU9?>4=277>46a349>57?>1:p17>=839pR8?i;<167?769278954>139~w0402908wS;>e:?016<68o16?8651038yv33;3:1?vP:389>71>=99l01>:k:033?xu2<80;6>uQ52:8962028;:70=;c;326>{t==:1<7=t^414?853?3;;j63<4b8254=z{<9m6=4<{_702>;4<?0:=<5235`9544<uz?8i7>53z\670=:;=<1==h4=26a>4763ty>?i4?:2y]162<5:>>6<?>;<17e?76:2wx9>m50;1xZ054349?97??f:?00d<6981v8=m:180[34:278894>109>71?=9880q~;<a;297~X2;816?9:511d8962>28;:7p}:4583>17|V<>?70<j7;7;?84b>3?370<j9;7;?825:3?370:=1;7;?826j3?370:>a;7;?82613?370:=0;7;?855;3?370==2;7;?85593?370==0;7;?856n3?370=>e;7;?856l3?370=>c;7;?856j3?370=>1;7;?85683?370=?f;7;?857m3?370=?d;7;?857k3?370=?b;7;?857i3?370=?9;7;?840<3?370<83;7;?840:3?370<81;7;?84083?370<9f;7;?841m3?370<9d;7;?841k3?370<mb;7;?84ei3?370<m9;7;?84e03?370<m7;7;?84e>3?370<m5;7;?84e<3?370<m3;7;?84>03?370<67;7;?84>>3?370<65;7;?84><3?370<63;7;?84>:3?370<61;7;?84>83?370<=d;7;?845k3?370<=b;7;?845i3?370<=9;7;?84503?370<=7;7;?845>3?370<=5;7;?827l3?o7p}:4g83>0}Y==l01?km:02e?84b03;;j63;0g824c=::l>1==h4}r765?6=?rT>9<5240091`=:<8?1=i84=537>4b134>9<7?k6:?756<6l?168?;51e48yv3203:19kuQ54:896d72<201>oi:4:891752<2019<8:4:891722<2019<9:4:891732<2019?i:4:8917b2<2019?<:4:8961>2<201>97:4:896102<201>99:4:896122<201>9;:4:896142<201>9=:4:896162<2019>l:4:8916e2<2019>n:4:8916>2<2019>7:4:891602<2019>9:4:891622<2019>;:4:896`f2<201>h6:4:896`?2<201>h8:4:896`12<201>h::4:896`32<201>h<:4:896`52<201>k7:4:896c02<201>k9:4:896c22<201>k;:4:896c42<201>k=:4:896c62<201>k?:4:896b12<201>j::4:896b32<201>j=:4:896b72<201>mj:4:896ed2<201>mn:4:896e?2<201??m:4:8977f2<201??6:4:8977?2<201??8:4:897712<201??::4:897732<201??<:4:897052<201?8>:4:897072<201?;i:4:8973b2<201?;k:4:8973d2<201?;m:4:8973f2<201?=i:4:8975b2<201?=k:4:8975d2<201?=m:4:8975f2<201?=6:4:8975?2<201?=8:4:897>12<201?6::4:897>32<201?6<:4:897>52<201?6>:4:897>72<201?9i:4:8971b2<2019<::4:896>42<2019>k:4a8yv31;3:1mvP:629>7g7=99l01>6::02e?85e:3;;j63<d2824c=:;j31==h4=2f2>477349hh7?>0:?0gg<69916?nh51028yv21<3:1;ouQ4708Z1063W>=<6P;5g9]00c<V=?o7S::c:\71g=Y<<k0R8:k;_77g>X2<k1U99o4^46:?[3302T>8:5Q5548Z0223W?==6P:619]10`<V<?n7S;:d:\61f=Y=<h0R8;n;_76=>X3=<1U8884^5d0?[2a<2T>985Q5458Z0353W>=863;3g824f=:<8h1:?5240c927=:<831:?52432927=:<8l1:?5240g927=:<891:?5239f920=:;1i1:85239`920=:;1k1:85239g920=:;h:1:85238d920=:;0o1:85238f920=:;h;1:8522b1920=::j81:8522b3920=::j:1:8522b6920=::m<1:8522e7920=::m>1:8522e1920=::m=1:85233691g=:;;>1=h>4=50;>47634>;h7?k9:?74a<6lh168=j51e`8916c2hi019>k:`c8916c2h3019>k:`:8916c2:l019>k:2g8916c2:n019>k:2a8916c2:<019>k:5g8916c2=n019>k:5a8916c2=h019>k:578916c2h:019>k:8g8916c20i019>k:8`8916c20k019>k:8;8916c202019>k:858916c20<019>k:878916c20>019>k:818916c20;019>k:828916c21l019>k:9g8916c21n019>k:9a8916c21h019>k:9c8916c213019>k:9:8916c2h=019>k:`48916c2h?019>k:`68916c2h9019>k:`08916c2h;019>k:8f8916c208019>k:958916c2k;019>k:`d8916c2ho019>k:`f8916c2=9370:?d;602>;38m0??85241f9062<uz>oj7>52z\7fc=:<9n1ii5rs5fg>5<5sW>ii63;0e8ff>{t<mh1<7<t^5``?827l3o27p};d`83>7}Y<kh019>k:d:8yv2c13:1>vP;b`9>05b=m>1v9j7:181[2e127?<i4j6:p0a1=838pR9l7;<63`?c23ty?h;4?:3y]0g1<5=:o6h:4}r6g1?6=:rT?n;5241f9a6=z{=n?6=4={_6a1>;38m0n>6s|4e194?4|V=h?70:?d;g2?xu3l;0;6?uQ4c18916c2l:0q~:k0;296~X3j8168=j5dd9~w1ea2909wS:m0:?74a<cl2wx8nk50;0xZ1ga34>;h7jl;|q7ga<72;qU8lk4=52g>ad<uz>ho7>52z\7ea=:<9n1hl5rs5aa>5<5sW>jo63;0e8g=>{t<jk1<7<t^5ca?827l3n37p};c883>7}Y<hk019>k:e58yv2d03:1>vP;a89>05b=l?1v9m8:181[2f027?<i4k5:p0`0=838pR9m:;<63`?`33ty?i84?:3y]0f2<5=:o6k=4}r6f0?6=:rT?o>5241f9b7=z{=o86=4={_6`6>;38m0m=6s|4d094?4|V=i:70:?d;d3?xu3m80;6?uQ4b28916c2ll0q~:j0;296~X3jm168=j5ed9~w1bd2909wS:m2:?74a<bi2wx8i?50;0xZ1g034>;h7ji;|q7g3<72;qU8l84=52g>a2<uz8n;7>53z\7a==::l=1=i94=3g;>4773ty8n=4?:3y]0`c<5:h;6<j8;|q0<6<72:qU9<=4=2:0>4b0349387??f:p6`?=838pR8;9;<0f=?7c?2wx=;k50;5x912728n:70=7a;7a?85>l3?i70<l0;7a?84c;3?i70=<f;7a?853m3?i7p}=e283>6}::l=1:?522d4927=::l>1=nh4}r62<?6=9?q6>h951e4897c128n=70<j9;7f?826j3;o;63<2286a>;4:;0>i63<2086a>;4:90>i63<1g86a>;49l0>i63<1e86a>;49j0>i63<1c86a>;4980>i63<1186a>;48o0>i63<0d86a>;48m0>i63<0b86a>;48k0>i63<0`86a>;4800:h;5rs3g5>5<3s48n:7?k7:?1ag<699168=h5103897c328;;7p}=e`83>7}::l31:?522d:95f`<uz9jh7>53z?0f5<1:278mk492:?0<0<6ko1v9?;:18685e83;o:63<ag82`3=:<8?1:?5240695a1<5:286<j9;|q0ec<72?q6?lh51e5896d628;;70=75;325>;40=0:=<523c09546<5:h?6<>j;|q750<72;q68<<5639>043=9m=0q~:>2;297~;39;0:h:523979546<5:2?6<??;|q764<72:q68?<5639>077=9m=019<?:4;8yv25:3:1>v3;2382`2=:<;>1==h4}r612?6=;r7?>:492:?763<6l>168?6511d8yv25?3:1>v3;2682`2=:<;k1==h4}r614?6=;r7?><492:?765<6l>168?:51028yv25=3:18v3;27856>;3:h0:==5243:9546<5=8>6<j8;|q756<72;q68<:5639>045=9m=0q~:=3;290~;39k0:h;5240c95a0<5=;26<j9;<610?7dn2wx8<950;06826i3;o;63=7586a>;5?:0>i63=7386a>;5?80>i63=7186a>;5>o0>i63=6d86a>;5>m0>i63=6b86a>;5jk0>i63=b`86a>;5j00>i63=b986a>;5j>0>i63=b786a>;5j<0>i63=b586a>;5j:0>i63=9986a>;51>0>i63=9786a>;51<0>i63=9586a>;51:0>i63=9386a>;5180>i63=9186a>;5:m0>i63=2b86a>;5:k0>i63=2`86a>;5:00>i63=2986a>;5:>0>i63=2786a>;5:<0>i6s|40494?5|5=;26<j8;<63b?76827?<i4>db9~w14>2908w0:>f;3g2>;39l0:h;5243c95f`<uz>:h7>52dy>04`=9m=01>96:4g8961?2<o01>98:4g896112<o01>9::4g896132<o01>9<:4g896152<o01>9>:4g8916d2<o019>m:4g8916f2<o019>6:4g8916?2<o019>8:4g891612<o019>::4g891632<o01>hn:4g896`>2<o01>h7:4g896`02<o01>h9:4g896`22<o01>h;:4g896`42<o01>h=:0f5?85b03?n70=j7;7f?85b>3?n70=j5;7f?85b<3?n70=j3;7f?85b:3?n70=j1;3g2>;4m90>i63<d786a>;4l<0>i63<d586a>;4l;0>i63<d186a>;4kl0>i63<cb86a>;4kh0:h;523b:95a0<uz>:o7>524y>04c=9m=01??m:4g8977f2<o01??6:4g8977?2<o01??8:4g897712<o01??::4g897732<o01??<:4g897052<o01?8>:4g897072<o01?;i:4g8973b2<o01?;k:4g8973d2<o01?;m:4g8973f2<o01?=i:4g8975b2<o01?=k:4g8975d2<o01?=m:4g8975f2<o01?=6:4g8975?2<o01?=8:4g897>12<o01?6::4g897>32<o01?6<:4g897>52<o01?6>:4g897>72<o01?9i:4g8971b2<o0q~=78;296~;40m0>n63<8b82gc=z{:3>6=4={<1;`?7b82785;4>cg9~w6>>2909w0=7d;3`b>;40l0>n6s|39594?4|5:2h68l4=2:a>4ea3ty85>4?:3y>7=e=9l:01>7;:0ae?xu40?0;6?u239`91g=:;1k1=nh4}r1:5?6=:r784o4>e19>7<4=9jl0q~=7f;296~;40h0:i=5238295f`<uz92;7>52z?0<`<6m916?4651bd8yv5fk3:1>v3<8d82gc=:;1?1=<=4}r1:f?6=:r78m=4:b:?0=c<6ko1v>o7:18185f83;n<63<a882gc=z{:3h6=4={<1b4?7dn278m<4:b:p7<g=838p1>7i:4`896?b28im7p}<a783>7}:;0l1=h>4=2c4>4ea3ty8544?:3y>7<c==k16?4j51bd8yv5f<3:1>v3<9d82a5=:;h?1=nh4}r1b6?6=:r785i4>e19>7d5=9jl0q~=na;296~;4i80:i=523``95f`<uz9ji7>52z?0e4<6ko16?5;51008yv4em3:1>v3=c286f>;5k;0:ok5rs3aa>5<5s48h?7?j0:?1gf<6ko1v?li:18184d;3;hj63=c586f>{t:kn1<7<t=3a1>0d<5;i:6<mi;|q1g<<72;q6>n<51d2897ef28im7p}=bb83>7}::j;19o522b295f`<uz8h;7>52z?1g4<6m916>n651bd8yv4d=3:1>v3=c182a5=::j<1=nh4}r0``?6=:r79o94>e19>6fc=9jl0q~<j2;296~;5k=0:ok522d69547<uz8o=7>52z?1`3<2j279h84>cg9~w7bb2909w0<k6;3f4>;5lo0:ok5rs3f1>5<5s48o:7?lf:?1`2<2j2wx>i>50;0x97b22<h01?j;:0ae?xu5lj0;6?u22e795`6<5;no6<mi;|q1gc<72;q6>i:55c9>6a5=9jl0q~<ka;296~;5l=0:i=522e`95f`<uz8o47>52z?1`6<6m916>i751bd8yv4b83:1>v3=d682a5=::l;1=nh4}r0f1?6=:r79h:4>cg9>6`2=9880q~:>0;2956}:;;91945233091<=:;;;1945233291<=:;8l1945230g91<=:;8n1945230a91<=:;8h1945230391<=:;8:1945231d91<=:;9o1945231f91<=:;9i1945231`91<=:;9k1945231;91<=::lh1=nh4}r0e3?6=<r78>>492:?054<6l>16>h?51028966028:m7p}<1`83>0}:;;91=i94=30g>34<5;in6<??;<3e5?76827?<i4;a:p6c0=83?p1><=:708967728n<70<kf;325>;48?0:<k523159546<uz9:57>55z?067<6l>16>nm5100894`628:m70?i0;324>;38m0?46s|2g794?0|5:8:6;<4=22e>4b0348oj7?>2:?043<69916?=:511d8966?28:m7p}<1983>0}:;;;1=i94=3a`>47634;m<7??f:?2ac<699168=j5469~w7`3290<w0==0;41?857m3;o;63=de8254=:;9<1=<?4=227>477349;47?>0:?047<68o1v>?8:18685583;o;63=c`8257=:9ll1==h4=0gf>47734>;h7:9;|q1b6<721q6?<h5639>75b=9m=01?jk:031?857>3;:>63<058254=:;9=1=<?4=223>46a349;>7?>0:p740=83?p1>?i:0f4?84di3;:=63>ed824c=:9ln1=<>4=52g>05<uz8m>7>59z?05`<1:278<n4>d69>6ad=98801>>9:030?857<3;:>63<068257=::on1==h4=223>477349;>7?>1:p743=83?p1>?j:0f4?84d03;:>63>ee824c=:9li1=<>4=52g>04<uz8m=7>58z?05a<1:278<o4>d69>6ad=98;01?hl:02e?84bm3;;j63=fe8257=:;9:1=<=4=221>4733ty8=94?:4y>74b=9m=01?m7:032?87bk3;;j63>ec8255=:<9n19<5rs3d3>5<>s49:o78=;<13e?7c?279h44>109>6ce=98:01?kk:033?84bm3;:=63=fe8254=:;9:1=<<4=221>4743ty8=>4?:4y>74e=9m=01?m9:031?87bj3;;j63>e`8255=:<9n19=5rs3ge>5<fs49:n78=;<13=?7c?279h44>139>6ce=98;01?kk:02e?84bm3;:<63=fe8255=:;9:1=<?4=221>475348no7??e:p744=83>p1>?m:0f4?84d>3;:=63>e`824c=:<9n18k5rs224>5<5s49:=78=;<133?7dn2wx?=;50;0x96772?801>>9:0ae?xu48:0;6?u231d927=:;9>1=nh4}r135?6=:r78<h492:?047<6ko1v?hi:181857l3<970=?0;3`b>{t:oh1<7<t=22`>34<5;lo6<mi;|q1bd<72;q6?=l5639>6`c=9jl0q~<i9;296~;48h0=>63=ee82gc=z{;l36=4={<13=?05348no7?lf:p714=838p1>:<:4`8962528im7p}<4e83>7}:;=91:85235f95f`<uz9?47>52z?006<6m916?9651bd8yv51?3:1>v3<4282gc=:;1>1=<=4}r144?6==r78854>119>71b=99l01>;8:033?852k3;;j63<7882`2=z{:>:6=4={<176?3e349?=7?lf:p71e=838p1>:=:778962d28im7p}<4683>7}:;=81=h>4=264>4ea3ty8:h4?:4y>711=98:01>:l:033?852>3;:<63<5c8255=:;>=1=i94}r15b?6==r788:4>139>71e=99l01>;9:031?852j3;;j63<7982`2=z{:>;6=4={<175?3e349?<7?lf:p71d=838p1>:>:778962e28im7p}<4783>7}:;=;1=h>4=265>4ea3ty8:n4?:4y>710=98:01>:m:033?852=3;:<63<5`8255=:;>?1=i94}r15`?6==r788;4>139>71d=99l01>;::031?852i3;;j63<7782`2=z{:9m6=4={<174?3e3498j7?lf:p71g=838p1>:?:778962f28im7p}<4483>7}:;=:1=h>4=266>4ea3ty8:l4?:4y>713=98:01>:n:033?852<3;:<63<588255=:;>91=i94}r15f?6==r78884>139>71g=99l01>;;:031?85213;;j63<7582`2=z{:>26=4={<10b?02349?57?lf:p712=838p1>=i:0g3?853<3;hj6s|37:94?3|5:>?6<??;<17=?7682789>4>119>70>=98:01>9>:0f4?xu4>00;68u23569544<5:>26<>i;<167?76:278954>0g9>724=9m=0q~=:1;296~;4=;0>n63<5082gc=z{:?h6=4={<166?02349>o7?lf:p701=838p1>;=:0g3?852?3;hj6s|34094?4|5:?96<mi;<1;0?76:2wx?8>50;0x96362<h01>;?:0ae?xu4=k0;6?u2343920=:;<h1=nh4}r162?6=:r789<4>e19>700=9jl0q~=;f;296~;4=90>n63<4g82gc=z{:?j6=4={<164?02349>m7?lf:p703=838p1>;?:0g3?852=3;hj6s|35g94?4|5:>m68l4=26f>4ea3ty8944?:3y>71`=><16?8751bd8yv52<3:1>v3<4g82a5=:;<>1=nh4}r16<?6=:r788h495:?01=<6ko1v>;<:181853m3;n<63<5282gc=z{:8h6=4={<10a?3e3499o7?lf:p763=838p1>=j:041?854=3;hj6s|39094?4|5:9n6<mi;<14=?053ty8>o4?:2y>76b==k16??m55c9>77d=9jl0q~=<4;297~;4;m0::?5233a95`6<5:9?6<mi;|q0<4<72;q6?>j51bd8961?2?80q~=i0;291~;4:j0=963;0c856>;4n00:h:523849546<5:9?6<>i;|q06d<72:q6?>m55c9>77d==k16??o51bd8yv54;3:1?v3<3b8227=:;;h1=h>4=210>4ea3ty84=4?:3y>76e=9jl01>98:708yv5bn3:19v3<2c851>;38h0=>63<f982`2=:;0<1=<<4=210>46a3ty8>44?:2y>76d==k16??o55c9>77?=9jl0q~=<2;297~;4;k0::?5233c95`6<5:996<mi;|q03c<72;q6?>l51bd896112?80q~=je;291~;4:h0=963;08856>;4n>0:h:523869546<5:996<>i;|q06=<72:q6?>o55c9>77?==k16??651bd8yv5493:1?v3<3`8227=:;;31=h>4=212>4ea3ty8;h4?:3y>76g=9jl01>9::708yv5bl3:19v3<28851>;3810=>63<f782`2=:;0>1=<<4=212>46a3ty8>:4?:2y>76?==k16??655c9>771=9jl0q~=<0;297~;4;00::?5233:95`6<5:9;6<mi;|q03a<72;q6?>751bd896132?80q~=jc;291~;4:10=963;06856>;4n<0:h:523809546<5:9;6<>i;|q063<72:q6?>655c9>771==k16??851bd8yv55n3:1?v3<398227=:;;=1=h>4=20e>4ea3ty8;n4?:3y>76>=9jl01>9<:708yv5bj3:19v3<26851>;38?0=>63<f582`2=:;081=<<4=20e>46a3ty8>84?:2y>761==k16??855c9>773=9jl0q~==e;297~;4;>0::?5233495`6<5:8n6<mi;|q03g<72;q6?>951bd896152?80q~=ja;291~;4:?0=963;04856>;4n:0:h:523829546<5:8n6<>i;|q061<72:q6?>855c9>773==k16??:51bd8yv55l3:1?v3<378227=:;;?1=h>4=20g>4ea3ty8;l4?:3y>760=9jl01>9>:708yv5b13:19v3<24851>;38=0=>63<f382`2=:;0:1=<<4=20g>46a3ty8n<4?:02x96432??01>k7:4;896c02<301>k9:4;896c22<301>k;:4;896c42<301>k=:4;896c62<301>k?:4;896d528im70:?d;335>;38m0??>5241f9067<5=:o69=?;<63`?25n2wx8<?50;35827k3?270:?b;7:?827i3?270:?9;7:?82703?270:?7;7:?827>3?270:?5;7:?827<3?270=ia;7:?85a13?270=i8;7:?85a?3?270=i6;7:?85a=3?270=i4;7:?85a;3?270=i2;7:?85c>3?270=k5;7:?85c<3?270=m1;3`b>{t;o;1<7:t=52`>34<5:lj6<j8;<1:<?768278?84>0g9~w164290?w0:?c;3g3>;50?0=>63>f88255=:<9n1?o5rs521>5<3s4>;n7?k7:?2b<<68o16=k651028916c2:30q~:?1;290~;38h0:h:521g:955`<58l<6<??;<63`?5?3ty?<=4?:5y>05?=9m=01<h8:02e?87a>3;:<63;0e803>{t;ol1<7:t=52;>4b034;m:7??f:?2b0<699168=j5459~w6`b290?w0:?7;3g3>;6n<0:<k521g69546<5=:o69=4}r1e`?6=<r7?<;4>d69>5c2=99l01<h<:033?827l3>97p}<fb83>1}:<9?1=i94=0d0>46a34;m>7?>0:?74a<392wx?kl50;1x916328n<70?i2;33b>;38m0?<6s|3ed94?5|5:lj6;<4=2g;>4b0349jn7??f:p7ac=839p1>h6:70896c028n<70=n9;324>{t;mn1<7=t=2d;>34<5:o=6<j8;<1b=?77n2wx?im50;1x96`02?801>k::0f4?85f?3;:<6s|3e`94?5|5:l=6;<4=2g7>4b0349j;7??f:p7ag=839p1>h::70896c428n<70=n5;324>{t;m31<7=t=2d7>34<5:o96<j8;<1b1?77n2wx?i650;1x96`42?801>k>:0f4?85f;3;:<6s|3e594?5|5:l96;<4=2g3>4b0349j?7??f:p7gb=839p1>k7:70896b128n<70=l6;33b>{t;ki1<7:t=2g4>34<5:n>6<j8;<1`1?77n278o;4>119~w6de290>w0=j6;41?85c<3;o;63<c48255=:;j91==h4=2a4>46a3ty8nl4?:6y>7`3=>;16?i<51e5896e228;:70=l3;324>;4k>0:==523b3955`<5:n86<??;|q0f<<72>q6?h:5639>7a6=9m=01>m::031?85d;3;:=63<c78254=:;j;1=<>4=2f2>46a3ty8n54?:9y>7`5=>;16?nk51e5896e228;870=l3;326>;4k?0:=?523b39547<5:n:6<?>;<1`b?77n2wx?o950;5x96c52?801>ml:0f4?85em3;;j63<c08251=:;m;1=<:4=2ag>46a349hj7?>3:p7g0=832p1>k>:70896ef28n<70=me;324>;4k80:=>523e39545<5:io6<?=;<1`f?77n278ok4>139~w6d22902w0=j0;41?85d03;o;63<bd8254=:;j;1=<<4=2a:>477349o=7?>2:?0ga<69816?nl5103896ea28;:7p}<c783>7}:;m<1:?523b495f`<uz9h87>52z?0`0<1:278o84>cg9~w6e52909w0=k4;41?85d;3;hj6s|3c194?1|5:n96874=2f3>0?<5:in6874=2a`>0?<5:ij6874=2a;>0?<5:h?6<mi;|q0`6<72;q6?i<5639>7a5=9jl0q~=k1;296~;4l90=>63<d082gc=z{:im6=4={<1`a?05349hj7?lf:p7fb=838p1>ml:70896ec28im7p}<cc83>7}:;jk1:?523b`95f`<uz9h57>52z?0g=<1:278o44>cg9~w7g62903w0<84;41?84ej3;o;63=a98255=::hk1=<>4=3ca>475348jh7?>3:?1ec<69=16>l=51028yv41j3:1?v3=7582`2=::jo1==h4=3g2>46a3ty9m:4?:2y>625=>;16>l651bd897d628;?7p}=6`83>6}::>91=i94=3a`>477348oj7?>0:p6d?=838p1?9=:70897gf28im7p}=6883>6}::>81=i94=3a`>46a348oj7??f:p6de=839p1?9>:70897ge28im70<n5;320>{t:?21<7=t=352>4b0348hm7?>0:?1`a<6991v?oj:18184083<970<nd;3`b>{t:?=1<7=t=353>4b0348hm7??f:?1`a<68o1v?l?:181841n3<970<nf;3`b>{t:?<1<7=t=34e>4b0348h47?>0:?1`g<6991v?l=:181841m3<970<m1;3`b>{t:??1<7=t=34f>4b0348h47??f:?1`g<68o1v?o;:181841l3<970<n2;3`b>{t:?>1<7=t=34g>4b0348h:7?>0:?1`<<6991v?o9:181841k3<970<n5;3`b>{t:?91<7=t=34`>4b0348h:7??f:?1`<<68o1v?:7:18;846j3<970<92;3g3>;5<h0:==5225a9546<5;>o6<?=;<07b?76;2799<4>159>600=98:0q~<>2;290~;59k0:h:5238:955`<5:ki6<??;<101?7682wx>9750;1x977f2?801?:n:0ae?842;3;:86s|20394?2|5;;j6<j8;<1:2?77n278m44>139>762=98:0q~<;b;296~;5900=>63=4b82gc=z{;;;6=4;{<02=?7c?2785;4>109>7d?=98;01>=<:033?xu5<l0;6>u220:927=::=n1=nh4=37;>4733ty9<k4?:5y>64>=9m=01>7;:02e?85f?3;:>63<338255=z{;?;6=4={<023?05348?j7?lf:p65c=83>p1??8:0f4?85><3;:=63<a68254=:;:;1=<>4}r066?6=:r79=;492:?114<6ko1v?>k:187846>3;o;63<93824c=:;h?1=<<4=213>4773ty9994?:3y>643=>;16>8=51bd8yv47k3:18v3=1482`2=:;081=<?4=2c6>4763499j7?>0:p601=838p1??;:708973228im7p}=0c83>1}::8>1=i94=2;3>46a349j?7?>2:?06`<6991v?;6:181846;3<970<:8;3`b>{t:9k1<7:t=330>4b03492<7?>1:?0e6<69816??j51028yv4?n3:1>v3=bc856>;5110:h:5rs3:f>5<5s48im78=;<0:3?7c?2wx>l>50;5x97df28n<70<n8;33b>;5ih0:<k522``9547<5;ko6<?=;<0bb?76;279m>4>109~w7>c2909w0<m9;41?84>>3;o;6s|28d94?1|5;h26<j8;<0be?769279mo4>119>6db=98;01?oi:031?84e93;:>63=a38256=z{;2h6=4={<0a<?05348297?k7:p6<c=83<p1?l7:0f4?84fj3;;j63=ae8255=::hl1=<?4=3`2>474348j?7??f:p6=d=838p1?l8:70897?328n<7p}=9e83>3}::k=1=i94=3cg>46a348jj7?>0:?1e0<69816>o?5102897g528;:7p}=8`83>7}::k<1:?5228195a1<uz82o7>55z?1f3<6l>16>lh511d897g228;970<m1;325>;5i;0:=?5rs3::>5<5s48i978=;<0:6?7c?2wx>4l50;6x97d228n<70<n5;33b>;5j80:<k522`0955`<uz8347>52z?1f1<1:2795<4>d69~w7?f2908w0<m4;3g3>;5i<0:==522`09546<uz83;7>52z?1f6<1:2795=4>d69~w7?>2909w0<m3;3g3>;5i<0:=>5rs315>5<5s48=>78=;<00b?7c?2wx>>;50;0x97062?801?=j:0f4?xu5<>0;6:u227395a1<5;>j6<>i;<07g?77n2798i4>109>61`=98801?;>:030?842>3;:=6s|22694?4|5;<;6;<4=31g>4b03ty98;4?:6y>636=9m=01?:l:032?843l3;:<63=4g8254=::<;1=<<4=370>475348>97?>3:p665=838p1?;i:708975d28n<7p}=4483>3}::<l1=i94=36g>46a348?j7?>0:?114<69816>8=51018973128:m7p}=3383>7}::<o1:?5222`95a1<uz8?87>56z?11`<6l>16>9h511d8973628;;70<:8;325>;5=:0:==522479547<uz88=7>52z?11a<1:279?l4>d69~w724290>w0<:d;3g3>;5=80:<k5224:9544<5;?86<?>;<061?76:2wx>>>50;0x973d2?801?=6:0f4?xu5<;0;69u224a95a1<5;?36<>i;<067?77n279984>0g9~w74a2909w0<:b;41?84403;o;6s|25394?5|5;?i6<j8;<06<?768279984>119~w74b2909w0<:a;41?844?3;o;6s|25294?4|5;?j6<j8;<06<?76;2wx>:j50;0x97??2?801?69:0f4?xu5?j0;6?u2285927=::1?1=i94}r04f?6=:r795;492:?1<1<6l>1v?9n:18184>=3<970<73;3g3>{t:>31<7<t=3;7>34<5;296<j8;|q13=<72;q6>4=5639>6=7=9m=0q~<87;296~;51;0=>63=8182`2=z{;==6=4={<0:5?05348<j7?k7:p623=838p1?7?:708971b28n<7p}=2583>7}:::l1:?5223f95a1<uz89?7>52z?17`<1:279>n4>d69~w7452909w0<<d;41?845j3;o;6s|23394?4|5;9h6;<4=30b>4b03ty9>=4?:3y>66d=>;16>?751e58yv46n3:1>v3=3`856>;5:10:h:5rs33f>5<5s488578=;<013?7c?2wx><j50;0x975?2?801?<9:0f4?xu59j0;6?u2225927=::;?1=i94}r035?6=:r79>n492:?2b4<6ko1v?>?:181845j3<970?i0;3`b>{t9ol1<7<t=30b>34<58om6<mi;|q2b`<72;q6>?75639>5`c=9jl0q~?id;296~;5:10=>63>ee82gc=z{8lh6=4={<013?0534;no7?lf:p5cd=838p1?<9:70894ce28im7p}>f`83>7}::;?1:?521dc95f`<uz8;57>52z?1<0<1:27:j44>cg9~w76?2909w0<74;41?87a03;hj6s|21594?4|5;286;<4=0d4>4ea3ty9<;4?:3y>6=4=>;16=k851bd8yv47=3:1>v3=80856>;6n<0:ok5rs327>5<5s483<78=;<3e0?7dn2wx>==50;0x971a2?801<h<:0ae?xu58;0;6?u226g927=:9o81=nh4}r63a?6=:r7?<k4>cg9>05b=9980q~<ie;290~;5nj0:ok523149542<5::?6<?<;<133?76<2wx?oh50;6x96db28im70=l5;320>;4k:0:=>523b49542<uz;2o7>52z?04=<6ko16?=951018yv7e93:1>v3<c682gc=:;j<1=<=4}r3;6?6=:r799;4>cg9>603=98>0q~?67;296~;5i:0:ok522`09542<uz>947>52z?76=<6ko168?;5639~w6>32909w0=73;41?85?<3;hj6s|3b294?4|5:i:6<mi;<1g7?7692wv8;>:181[32927>:7;:1:&76g<6j>1v8;7:181[32027>:7;:8:&76g<6j11v8:;:181[33<27>:7;;4:&76g<6k;1v97j:181[20m27>:7:8e:&76g<6?>1v97l:181[20l27>:7:8d:&76g<60;1v97n:181[20j27>:7:8b:&76g<60m1v976:181[20i27>:7:8a:&76g<61=1v977:181[20127>:7:89:&76g<61?1v978:181[20027>:7:88:&76g<61>1v979:181[20?27>:7:87:&76g<6101v97::181[20>27>:7:86:&76g<61h1v97;:181[20=27>:7:85:&76g<61k1v97<:181[20<27>:7:84:&76g<61m1v97=:181[20;27>:7:83:&76g<61l1v97>:181[20:27>:7:82:&76g<61o1v96i:181[20827>:7:80:&76g<6i91v96j:181[21n27>:7:9f:&76g<6i81v96k:181[21m27>:7:9e:&76g<6i;1v96l:181[21l27>:7:9d:&76g<6i:1v96m:181[21k27>:7:9c:&76g<6i=1v96n:181[21j27>:7:9b:&76g<6i<1v966:181[21i27>:7:9a:&76g<6i?1v967:181[21127>:7:99:&76g<6i>1v968:181[21027>:7:98:&76g<6i11v969:181[21?27>:7:97:&76g<6i01v9o::181[2?<27>:7:74:&76g<6ih1v9o;:181[2?;27>:7:73:&76g<6ik1v9o<:181[2?:27>:7:72:&76g<6ij1v9o=:181[2?927>:7:71:&76g<6im1v9o>:181[2?827>:7:70:&76g<6il1v9o?:181[20n27>:7:8f:&76g<6io1v97i:181[20k27>:7:8c:&76g<6j91v97m:181[20927>:7:81:&76g<6j;1v97?:181[21>27>:7:96:&76g<6j:1v96::181[21=27>:7:95:&76g<6j=1v88<:181[31;27>:7;93:&76g<6j<1v8:i:181[33n27>:7;;f:&76g<6j?1v8=?:181[35>27>:7;=6:&76g<6j01v8<j:181[35=27>:7;=5:&76g<6jh1v8<k:181[35<27>:7;=4:&76g<6jk1v8<l:181[35;27>:7;=3:&76g<6jj1v8<m:181[35:27>:7;=2:&76g<6jm1v8<n:181[35927>:7;=1:&76g<6jl1v8<6:181[35827>:7;=0:&76g<6jo1v8<7:181[36n27>:7;>f:&76g<6k91v8<8:181[36m27>:7;>e:&76g<6k81v8:<:181[34127>:7;<9:&76g<6k:1v8:>:181[34027>:7;<8:&76g<6k=1v8:?:181[34?27>:7;<7:&76g<6k<1v8=i:181[34>27>:7;<6:&76g<6k?1v8=j:181[34=27>:7;<5:&76g<6k>1v8=k:181[34<27>:7;<4:&76g<6k11v8=l:181[34;27>:7;<3:&76g<6k01v8=m:181[34:27>:7;<2:&76g<6kh1v8=n:181[34927>:7;<1:&76g<6kk1v9ji:181[2en27>:7:mf:&76g<6>o1v9jk:181[2em27>:7:me:&76g<6?91v9jm:181[2ek27>:7:mc:&76g<6?81v9jn:181[2ej27>:7:mb:&76g<6?;1v9j6:181[2ei27>:7:ma:&76g<6?:1v9j7:181[2e127>:7:m9:&76g<6?=1v9j8:181[2e027>:7:m8:&76g<6?<1v9j9:181[2e?27>:7:m7:&76g<6??1v9j::181[2e>27>:7:m6:&76g<6?11v9j;:181[2e=27>:7:m5:&76g<6?01v9j<:181[2e<27>:7:m4:&76g<6?h1v9j=:181[2e;27>:7:m3:&76g<6?k1v9j?:181[2e927>:7:m1:&76g<6?j1v9mi:181[2e827>:7:m0:&76g<6?m1v9mj:181[2fn27>:7:nf:&76g<6?l1v9mk:181[2fm27>:7:ne:&76g<6?o1v9ml:181[2fl27>:7:nd:&76g<6091v9mm:181[2fk27>:7:nc:&76g<6081v9mn:181[2fj27>:7:nb:&76g<60:1v9m6:181[2fi27>:7:na:&76g<60=1v9m7:181[2f127>:7:n9:&76g<60<1v9m8:181[2f027>:7:n8:&76g<60?1v9k9:181[2d=27>:7:l5:&76g<60>1v9k::181[2d<27>:7:l4:&76g<6011v9k;:181[2d;27>:7:l3:&76g<6001v9k<:181[2d:27>:7:l2:&76g<60h1v9k=:181[2d927>:7:l1:&76g<60k1v9k>:181[2d827>:7:l0:&76g<60j1v9k?:181[2el27>:7:md:&76g<60l1v9jl:181[2e:27>:7:m2:&76g<60o1v9j>:181[2f?27>:7:n7:&76g<6191v9m9:181[2f>27>:7:n6:&76g<6181v9kj:181[2bm27>:7:je:&76g<61;1v9k7:181[2b027>:7:j8:&76g<61:1v8;9:181[32>27>:7;:6:&76g<61<1v8?<:181[36;27>:7;>3:&76g<6111vqco>7;296~N3:j1vbl?7:181M25k2wem<750;0xL14d3tdj=l4?:3yK07e<ugk:n7>52zJ76f=zfh;h6=4={I61g>{ii8n1<7<tH50`?xhf9l0;6?uG43a8ykg6n3:1>vF;2b9~jd472909wE:=c:me77=838pD9<l;|lb67<72;qC8?m4}oc17?6=:rB?>n5rn`07>5<5sA>9o6saa3794?4|@=8h7p`n2783>7}O<;i0qco=7;296~N3:j1vbl<7:181M25k2wem?750;0xL14d3tdj>l4?:3yK07e<ugk9n7>52zJ76f=zfh8h6=4={I61g>{ii;n1<7<tH50`?xhf:l0;6?uG43a8ykg5n3:1>vF;2b9~jd572909wE:=c:me67=838pD9<l;|lb77<72;qC8?m4}oc07?6=:rB?>n5rn`17>5<5sA>9o6saa2794?4|@=8h7p`n3783>7}O<;i0qco<7;296~N3:j1vbl=7:181M25k2wem>750;0xL14d3tdj?l4?:3yK07e<ugk8n7>52zJ76f=zfh9h6=4={I61g>{ii:n1<7<tH50`?xhf;l0;6?uG43a8ykg4n3:1>vF;2b9~jd272909wE:=c:me17=838pD9<l;|lb07<72;qC8?m4}oc77?6=:rB?>n5rn`67>5<5sA>9o6saa5794?4|@=8h7p`n4783>7}O<;i0qco;7;296~N3:j1vbl:7:181M25k2wem9750;0xL14d3tdj8l4?:3yK07e<ugk?n7>52zJ76f=zfh>h6=4={I61g>{ii=n1<7<tH50`?xhf<l0;6?uG43a8ykg3n3:1>vF;2b9~jd372909wE:=c:me07=838pD9<l;|lb17<72;qC8?m4}oc67?6=:rB?>n5rn`77>5<5sA>9o6saa4794?4|@=8h7p`n5783>7}O<;i0qco:7;296~N3:j1vbl;7:181M25k2wem8750;0xL14d3tdj9l4?:3yK07e<ugk>n7>52zJ76f=zfh?h6=4={I61g>{ii<n1<7<tH50`?xhf=l0;6?uG43a8ykg2n3:1>vF;2b9~jd072909wE:=c:me37=838pD9<l;|lb27<72;qC8?m4}oc57?6=:rB?>n5rn`47>5<5sA>9o6saa7794?4|@=8h7p`n6783>7}O<;i0qco97;296~N3:j1vbl87:181M25k2wem;750;0xL14d3tdj:l4?:3yK07e<ugk=n7>52zJ76f=zfh<h6=4={I61g>{ii?n1<7<tH50`?xhf>l0;6?uG43a8ykg1n3:1>vF;2b9~jd172909wE:=c:me27=838pD9<l;|l;f6<728qC8?m4}o:g<?6=9rB?>n5rn9f:>5<6sA>9o6sa8ec94?7|@=8h7p`7dc83>4}O<;i0qc6kc;295~N3:j1vb5jk:182M25k2we4ik50;3xL14d3td3hk4?:0yK07e<ug2n<7>51zJ76f=zf1o:6=4>{I61g>{i0l81<7?tH50`?xh?m:0;6<uG43a8yk>b<3:1=vF;2b9~j=c2290:wE:=c:m<`0=83;pD9<l;|l;a2<728qC8?m4}o:f<?6=9rB?>n5rn9g:>5<6sA>9o6sa8dc94?7|@=8h7p`7ec83>4}O<;i0qc6jc;295~N3:j1vb5kk:182M25k2we4hk50;3xL14d3td3ik4?:0yK07e<ug2m<7>51zJ76f=zf1l:6=4>{I61g>{i0o81<7?tH50`?xh?n:0;6<uG43a8yk>a<3:1=vF;2b9~j=`2290:wE:=c:m<c0=83;pD9<l;|l;b2<728qC8?m4}o:e<?6=9rB?>n5rn9d:>5<6sA>9o6sa8gc94?7|@=8h7p`7fc83>4}O<;i0qc6ic;295~N3:j1vb5hk:182M25k2we4kk50;3xL14d3td3jk4?:0yK07e<ug3;<7>51zJ76f=zf0::6=4>{I61g>{i1981<7?tH50`?xh>8:0;6<uG43a8yk?7<3:1=vF;2b9~j<62290:wE:=c:m=50=83;pD9<l;|l:42<728qC8?m4}o;3<?6=9rB?>n5rn82:>5<6sA>9o6sa91c94?7|@=8h7p`60c83>4}O<;i0qc7?c;295~N3:j1vb4>k:182M25k2we5=k50;3xL14d3td2<k4?:0yK07e<ug3:<7>51zJ76f=zf0;:6=4>{I61g>{i1881<7?tH50`?xh>9:0;6<uG43a8yk?6<3:1=vF;2b9~j<72290:wE:=c:m=40=83;pD9<l;|l:52<728qC8?m4}o;2<?6=9rB?>n5rn83:>5<6sA>9o6sa90c94?7|@=8h7p`61c83>4}O<;i0qc7>c;295~N3:j1vb4?k:182M25k2we5<k50;3xL14d3td2=k4?:0yK07e<ug39<7>51zJ76f=zf08:6=4>{I61g>{i1;81<7?tH50`?xh>::0;6<uG43a8yk?5<3:1=vF;2b9~j<42290:wE:=c:m=70=83;pD9<l;|l:62<728qC8?m4}o;1<?6=9rB?>n5rn80:>5<6sA>9o6sa93c94?7|@=8h7p`62c83>4}O<;i0qc7=c;295~N3:j1vb4<k:182M25k2we5?k50;3xL14d3td2>k4?:0yK07e<ug38<7>51zJ76f=zf09:6=4>{I61g>{i1:81<7?tH50`?xh>;:0;6<uG43a8yk?4<3:1=vF;2b9~j<52290:wE:=c:m=60=83;pD9<l;|l:72<728qC8?m4}o;0<?6=9rB?>n5rn81:>5<6sA>9o6sa92c94?7|@=8h7p`63c83>4}O<;i0qc7<c;295~N3:j1vb4=k:182M25k2we5>k50;3xL14d3td2?k4?:0yK07e<ug3?<7>51zJ76f=zf0>:6=4>{I61g>{i1=81<7?tH50`?xh><:0;6<uG43a8yk?3<3:1=vF;2b9~j<22290:wE:=c:m=10=83;pD9<l;|l:02<728qC8?m4}o;7<?6=9rB?>n5rn86:>5<6sA>9o6sa95c94?7|@=8h7p`64c83>4}O<;i0qc7;c;295~N3:j1vb4:k:182M25k2we59k50;3xL14d3td28k4?:0yK07e<ug3><7>51zJ76f=zf0?:6=4>{I61g>{i1<81<7?tH50`?xh>=:0;6<uG43a8yk?2<3:1=vF;2b9~j<32290:wE:=c:m=00=83;pD9<l;|l:12<728qC8?m4}o;6<?6=9rB?>n5rn87:>5<6sA>9o6sa94c94?7|@=8h7p`65c83>4}O<;i0qc7:c;295~N3:j1vb4;k:182M25k2we58k50;3xL14d3td29k4?:0yK07e<ug3=<7>51zJ76f=zf0<:6=4>{I61g>{i1?81<7?tH50`?xh>>:0;6<uG43a8yk?1<3:1=vF;2b9~j<02290:wE:=c:m=30=83;pD9<l;|l:22<728qC8?m4}o;5<?6=9rB?>n5rn84:>5<6sA>9o6sa97c94?7|@=8h7p`66c83>4}O<;i0qc79c;295~N3:j1vb48k:182M25k2we5;k50;3xL14d3td2:k4?:0yK07e<ug3<<7>51zJ76f=zf0=:6=4>{I61g>{i1>81<7?tH50`?xh>?:0;6<uG43a8yk?0<3:1=vF;2b9~j<12290:wE:=c:m=20=83;pD9<l;|l:32<728qC8?m4}o;4<?6=9rB?>n5rn85:>5<6sA>9o6sa96c94?7|@=8h7p`67c83>4}O<;i0qc78c;295~N3:j1vb49k:182M25k2we5:k50;3xL14d3td2;k4?:0yK07e<ug33<7>51zJ76f=zf02:6=4>{I61g>{i1181<7?tH50`?xh>0:0;6<uG43a8yk??<3:1=vF;2b9~j<>2290:wE:=c:m==0=83;pD9<l;|l:<2<728qC8?m4}o;;<?6=9rB?>n5rn8::>5<6sA>9o6sa99c94?7|@=8h7p`68c83>4}O<;i0qc77c;295~N3:j1vb46k:182M25k2we55k50;3xL14d3td24k4?:0yK07e<ug32<7>51zJ76f=zf03:6=4>{I61g>{i1081<7?tH50`?xh>1:0;6<uG43a8yk?><3:1=vF;2b9~j<?2290:wE:=c:m=<0=83;pD9<l;|l:=2<728qC8?m4}o;:<?6=9rB?>n5rn8;:>5<6sA>9o6sa98c94?7|@=8h7p`69c83>4}O<;i0qc76c;295~N3:j1vb47k:182M25k2we54k50;3xL14d3td25k4?:0yK07e<ug3j<7>51zJ76f=zf0k:6=4>{I61g>{i1h81<7?tH50`?xh>i:0;6<uG43a8yk?f<3:1=vF;2b9~j<g2290:wE:=c:m=d0=83;pD9<l;|l:e2<728qC8?m4}o;b<?6=9rB?>n5rn8c:>5<6sA>9o6sa9`c94?7|@=8h7p`6ac83>4}O<;i0qc7nc;295~N3:j1vb4ok:182M25k2we5lk50;3xL14d3td2mk4?:0yK07e<ug3i<7>51zJ76f=zf0h:6=4>{I61g>{i1k81<7?tH50`?xh>j:0;6<uG43a8yk?e<3:1=vF;2b9~j<d2290:wE:=c:m=g0=83;pD9<l;|l:f2<728qC8?m4}o;a<?6=9rB?>n5rn8`:>5<6sA>9o6sa9cc94?7|@=8h7p`6bc83>4}O<;i0qc7mc;295~N3:j1vb4lk:182M25k2we5ok50;3xL14d3td2nk4?:0yK07e<ug3h<7>51zJ76f=zf0i:6=4>{I61g>{i1j81<7?tH50`?xh>k:0;6<uG43a8yk?d<3:1=vF;2b9~j<e2290:wE:=c:m=f0=83;pD9<l;|l:g2<728qC8?m4}o;`<?6=9rB?>n5rn8a:>5<6sA>9o6sa9bc94?7|@=8h7p`6cc83>4}O<;i0qc7lc;295~N3:j1vb4mk:182M25k2we5nk50;3xL14d3td2ok4?:0yK07e<ug3o<7>51zJ76f=zf0n:6=4>{I61g>{i1m81<7?tH50`?xh>l:0;6<uG43a8yk?c<3:1=vF;2b9~j<b2290:wE:=c:m=a0=83;pD9<l;|l:`2<728qC8?m4}o;g<?6=9rB?>n5rn8f:>5<6sA>9o6sa9ec94?7|@=8h7p`6dc83>4}O<;i0qc7kc;295~N3:j1vb4jk:182M25k2we5ik50;3xL14d3td2hk4?:0yK07e<ug3n<7>51zJ76f=zf0o:6=4>{I61g>{i1l81<7?tH50`?xh>m:0;6<uG43a8yk?b<3:1=vF;2b9~j<c2290:wE:=c:m=`0=83;pD9<l;|l:a2<728qC8?m4}o;f<?6=9rB?>n5rn8g:>5<6sA>9o6sa9dc94?7|@=8h7p`6ec83>4}O<;i0qc7jc;295~N3:j1vb4kk:182M25k2we5hk50;3xL14d3td2ik4?:0yK07e<ug3m<7>51zJ76f=zf0l:6=4>{I61g>{i1o81<7?tH50`?xh>n:0;6<uG43a8yk?a<3:1=vF;2b9~j<`2290:wE:=c:m=c0=83;pD9<l;|l:b2<728qC8?m4}o;e<?6=9rB?>n5rn8d:>5<6sA>9o6sa9gc94?7|@=8h7p`6fc83>4}O<;i0qc7ic;295~N3:j1vb4hk:182M25k2we5kk50;3xL14d3td2jk4?:0yK07e<ugk;<7>51zJ76f=zfh::6=4>{I61g>{ii981<7?tH50`?xhf8:0;6<uG43a8ykg7<3:1=vF;2b9~jd62290:wE:=c:me50=83;pD9<l;|lb42<728qC8?m4}oc3<?6=9rB?>n5rn`2:>5<6sA>9o6saa1c94?7|@=8h7p`n0c83>4}O<;i0qco?c;295~N3:j1vbl>k:182M25k2wem=k50;3xL14d3tdj<k4?:0yK07e<ugk:<7>51zJ76f=zfh;:6=4>{I61g>{ii881<7?tH50`?xhf9:0;6<uG43a8ykg6<3:1=vF;2b9~jd72290:wE:=c:me40=83;pD9<l;|~yEFDsj:o6nl;bg::7xFGJr:vLM^t}AB
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
Selecting top level module DSP48A1
@N: CG364 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4561:7:4561:13|Synthesizing module DSP48A1
@W: CG532 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4689:4:4689:10|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4730:12:4730:19|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4731:12:4731:18|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4741:26:4741:33|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4742:26:4742:32|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4886:19:4886:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4887:19:4887:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4924:19:4924:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4925:19:4925:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4965:19:4965:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4966:19:4966:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5008:19:5008:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5009:19:5009:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5048:19:5048:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5049:19:5049:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5088:19:5088:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5089:19:5089:25|System task $finish is not supported yet
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5105:19:5105:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5106:19:5106:25|System task $finish is not supported yet
@W: CG296 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5114:13:5114:87|Incomplete sensitivity list - assuming completeness
@W: CG290 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5118:12:5118:19|Referenced variable add_flag is not in sensitivity list
@N: CG793 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5160:19:5160:26|Ignoring System task $display
@N: CG512 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5161:19:5161:25|System task $finish is not supported yet
@W: CG133 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4650:18:4650:24|No assignment to b_o_mux
@W: CG133 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4667:8:4667:21|No assignment to invalid_opmode
@W: CG360 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4673:22:4673:28|No assignment to wire bcin_in
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5071:4:5071:9|Pruning Register qopmode_o_reg1[7:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5029:4:5029:9|Pruning Register qcarryin_o_reg1
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":5029:4:5029:9|Pruning Register qcarryout_o_reg1
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4949:4:4949:9|Pruning Register qmult_o_reg1[35:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4908:4:4908:9|Pruning Register qd_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4870:4:4870:9|Pruning Register qc_o_reg1[47:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4807:4:4807:9|Pruning Register qb_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4807:4:4807:9|Pruning Register qb_o_reg2[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4766:4:4766:9|Pruning Register qa_o_reg1[17:0]
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4766:4:4766:9|Pruning Register qa_o_reg2[17:0]
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4589:10:4589:16|Input CARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4590:10:4590:12|Input CEA is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4591:10:4591:12|Input CEB is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4592:10:4592:12|Input CEC is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4593:10:4593:18|Input CECARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4594:10:4594:12|Input CED is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4595:10:4595:12|Input CEM is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4596:10:4596:17|Input CEOPMODE is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4602:10:4602:13|Input RSTA is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4603:10:4603:13|Input RSTB is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4604:10:4604:13|Input RSTC is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4605:10:4605:19|Input RSTCARRYIN is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4606:10:4606:13|Input RSTD is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4607:10:4607:13|Input RSTM is unused
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syntmp/multadd.v":4608:10:4608:18|Input RSTOPMODE is unused
Synopsys Xilinx Technology Mapper, Version map510rc, Build 068R, Built Feb 22 2010 15:14:03
Copyright (C) 1994-2010, Synopsys Inc. All Rights Reserved
Product Version D-2010.03
Reading constraint file: /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/tdc_syn_constraints.sdc
Adding property syn_input_delay1, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=tdc_clk125:r" to view:work.top_tdc(rtl)
Adding property syn_output_delay2, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=tdc_clk125:r" to view:work.top_tdc(rtl)
Adding property syn_false_path1037, value "from p:spec_aux0_i" to view:work.top_tdc(rtl)
Adding property syn_false_path1038, value "from p:spec_aux1_i" to view:work.top_tdc(rtl)
Adding property syn_false_path1039, value "to p:spec_aux2_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1040, value "to p:spec_aux3_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1041, value "to p:spec_aux4_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1042, value "to p:spec_aux5_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1043, value "to p:spec_led_green_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1044, value "to p:spec_led_red_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1045, value "to p:tdc_led_status_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1046, value "to p:tdc_led_trig1_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1047, value "to p:tdc_led_trig2_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1048, value "to p:tdc_led_trig3_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1049, value "to p:tdc_led_trig4_o" to view:work.top_tdc(rtl)
Adding property syn_false_path1050, value "to p:tdc_led_trig5_o" to view:work.top_tdc(rtl)
Adding property syn_useioff, value 1 to view:work.top_tdc(rtl)
Adding property syn_noarrayports, value 1 to view:work.top_tdc(rtl)
Adding property syn_netlist_hierarchy, value 0 to view:work.top_tdc(rtl)
@N: MF249 |Running in 64-bit mode.
@N: MF257 |Gated clock conversion enabled
Adding property syn_pad_type, value "LVCMOS_25", to instance spec_led_green_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux5_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux4_o
Adding property syn_pad_type, value "LVCMOS_25", to instance spare_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux2_o
Adding property syn_pad_type, value "LVCMOS18", to instance spec_aux3_o
Adding property syn_pad_type, value "LVCMOS_25", to instance mute_inputs_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig1_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig2_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig3_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig4_o
Adding property syn_pad_type, value "LVCMOS_25", to instance tdc_led_trig5_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_1_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_2_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_3_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_4_o
Adding property syn_pad_type, value "LVCMOS_25", to instance term_en_5_o
Adding property syn_loc, value "N20", to port rst_n_a_i
Adding property syn_pad_type, value "LVCMOS18", to port rst_n_a_i
Adding property syn_input_delay3, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port p2l_clk_p_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port p2l_clk_p_i
Adding property syn_loc, value "M20", to port p2l_clk_p_i
Adding property syn_input_delay4, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port p2l_clk_n_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port p2l_clk_n_i
Adding property syn_loc, value "M19", to port p2l_clk_n_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_data_i[15:0]
Adding property syn_input_delay6, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port p2l_dframe_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_dframe_i
Adding property syn_loc, value "J22", to port p2l_dframe_i
Adding property syn_input_delay7, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port p2l_valid_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_valid_i
Adding property syn_loc, value "L19", to port p2l_valid_i
Adding property syn_output_delay10, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port p2l_rdy_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p2l_rdy_o
Adding property syn_loc, value "J16", to port p2l_rdy_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p_wr_req_i[1:0]
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p_wr_rdy_o[1:0]
Adding property syn_output_delay12, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port rx_error_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port rx_error_o
Adding property syn_loc, value "J17", to port rx_error_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port vc_rdy_i[1:0]
Adding property syn_output_delay13, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port l2p_clk_p_o
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port l2p_clk_p_o
Adding property syn_loc, value "K21", to port l2p_clk_p_o
Adding property syn_output_delay14, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port l2p_clk_n_o
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port l2p_clk_n_o
Adding property syn_loc, value "K22", to port l2p_clk_n_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_data_o[15:0]
Adding property syn_output_delay16, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port l2p_dframe_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_dframe_o
Adding property syn_loc, value "U22", to port l2p_dframe_o
Adding property syn_output_delay17, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port l2p_valid_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_valid_o
Adding property syn_loc, value "T18", to port l2p_valid_o
Adding property syn_output_delay18, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port l2p_edb_o
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_edb_o
Adding property syn_loc, value "U20", to port l2p_edb_o
Adding property syn_input_delay21, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port l2p_rdy_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l2p_rdy_i
Adding property syn_loc, value "U19", to port l2p_rdy_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port l_wr_rdy_i[1:0]
Adding property syn_pad_type, value "SSTL_18_Class_I", to port p_rd_d_rdy_i[1:0]
Adding property syn_input_delay24, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port tx_error_i
Adding property syn_pad_type, value "SSTL_18_Class_I", to port tx_error_i
Adding property syn_loc, value "M17", to port tx_error_i
Adding property syn_output_delay19, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port irq_p_o
Adding property syn_pad_type, value "LVCMOS_25", to port irq_p_o
Adding property syn_loc, value "U16", to port irq_p_o
Adding property syn_output_delay20, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=gnum_clk200:r", to port spare_o
Adding property syn_pad_type, value "LVCMOS_25", to port spare_o
Adding property syn_loc, value "AB19", to port spare_o
Adding property syn_loc, value "E16", to port acam_refclk_i
Adding property syn_pad_type, value "LVCMOS_25", to port acam_refclk_i
Adding property syn_input_delay30, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_ld_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_ld_i
Adding property syn_loc, value "C18", to port pll_ld_i
Adding property syn_input_delay31, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_refmon_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_refmon_i
Adding property syn_loc, value "D17", to port pll_refmon_i
Adding property syn_input_delay32, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_sdo_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_sdo_i
Adding property syn_loc, value "AB18", to port pll_sdo_i
Adding property syn_input_delay33, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_status_i
Adding property syn_pad_type, value "LVCMOS_25", to port pll_status_i
Adding property syn_loc, value "Y18", to port pll_status_i
Adding property syn_loc, value "L20", to port tdc_clk_p_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port tdc_clk_p_i
Adding property syn_loc, value "L22", to port tdc_clk_n_i
Adding property syn_pad_type, value "DIFF_SSTL_18_Class_II", to port tdc_clk_n_i
Adding property syn_output_delay28, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_cs_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_cs_o
Adding property syn_loc, value "Y17", to port pll_cs_o
Adding property syn_loc, value "AB16", to port pll_dac_sync_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_dac_sync_o
Adding property syn_output_delay27, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_sdi_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_sdi_o
Adding property syn_loc, value "AA18", to port pll_sdi_o
Adding property syn_output_delay29, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port pll_sclk_o
Adding property syn_pad_type, value "LVCMOS_25", to port pll_sclk_o
Adding property syn_loc, value "AB17", to port pll_sclk_o
Adding property syn_loc, value "V11", to port err_flag_i
Adding property syn_pad_type, value "LVCMOS_25", to port err_flag_i
Adding property syn_loc, value "W11", to port int_flag_i
Adding property syn_pad_type, value "LVCMOS_25", to port int_flag_i
Adding property syn_loc, value "T15", to port start_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to port start_dis_o
Adding property syn_loc, value "W17", to port start_from_fpga_o
Adding property syn_pad_type, value "LVCMOS_25", to port start_from_fpga_o
Adding property syn_loc, value "U15", to port stop_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to port stop_dis_o
Adding property syn_pad_type, value "LVCMOS_25", to port data_bus_io[27:0]
Adding property syn_loc, value "W12", to port ef1_i
Adding property syn_pad_type, value "LVCMOS_25", to port ef1_i
Adding property syn_loc, value "R11", to port ef2_i
Adding property syn_pad_type, value "LVCMOS_25", to port ef2_i
Adding property syn_loc, value "Y12", to port lf1_i
Adding property syn_pad_type, value "LVCMOS_25", to port lf1_i
Adding property syn_loc, value "T11", to port lf2_i
Adding property syn_pad_type, value "LVCMOS_25", to port lf2_i
Adding property syn_pad_type, value "LVCMOS_25", to port address_o[3:0]
Adding property syn_loc, value "T14", to port cs_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port cs_n_o
Adding property syn_loc, value "V13", to port oe_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port oe_n_o
Adding property syn_loc, value "AB13", to port rd_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port rd_n_o
Adding property syn_loc, value "Y13", to port wr_n_o
Adding property syn_pad_type, value "LVCMOS_25", to port wr_n_o
Adding property syn_loc, value "C19", to port mute_inputs_o
Adding property syn_pad_type, value "LVCMOS_25", to port mute_inputs_o
Adding property syn_loc, value "W13", to port tdc_led_status_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_status_o
Adding property syn_loc, value "W14", to port tdc_led_trig1_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig1_o
Adding property syn_loc, value "Y14", to port tdc_led_trig2_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig2_o
Adding property syn_loc, value "Y16", to port tdc_led_trig3_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig3_o
Adding property syn_loc, value "W15", to port tdc_led_trig4_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig4_o
Adding property syn_loc, value "V17", to port tdc_led_trig5_o
Adding property syn_pad_type, value "LVCMOS_25", to port tdc_led_trig5_o
Adding property syn_loc, value "W18", to port term_en_1_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_1_o
Adding property syn_loc, value "B20", to port term_en_2_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_2_o
Adding property syn_loc, value "A20", to port term_en_3_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_3_o
Adding property syn_loc, value "H10", to port term_en_4_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_4_o
Adding property syn_loc, value "E6", to port term_en_5_o
Adding property syn_pad_type, value "LVCMOS_25", to port term_en_5_o
Adding property syn_loc, value "C22", to port spec_aux0_i
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux0_i
Adding property syn_loc, value "D21", to port spec_aux1_i
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux1_i
Adding property syn_loc, value "G19", to port spec_aux2_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux2_o
Adding property syn_loc, value "F20", to port spec_aux3_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux3_o
Adding property syn_loc, value "F18", to port spec_aux4_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux4_o
Adding property syn_loc, value "C20", to port spec_aux5_o
Adding property syn_pad_type, value "LVCMOS18", to port spec_aux5_o
Adding property syn_output_delay25, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port spec_led_green_o
Adding property syn_pad_type, value "LVCMOS_25", to port spec_led_green_o
Adding property syn_loc, value "E5", to port spec_led_green_o
Adding property syn_output_delay26, value "r=2.00,f=2.00,rs=0.0,fs=0.0,improve=0,route=0,ref=spec_clk20:r", to port spec_led_red_o
Adding property syn_pad_type, value "LVCMOS_25", to port spec_led_red_o
Adding property syn_loc, value "D5", to port spec_led_red_o
Adding property syn_loc, value "H12", to port spec_clk_i
Adding property syn_pad_type, value "LVCMOS_25", to port spec_clk_i
Reading Xilinx I/O pad type table from file </afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/x_io_tbl.txt>
Reading Xilinx Rocket I/O parameter type table from file </afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/gttype.txt>
@N|Running in logic synthesis mode without enhanced optimization
@N: MF284 |Setting synthesis effort to very_low for the design
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|Removing sequential instance cal_data_master, because it is equivalent to instance cal_data_sint
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|Removing sequential instance cal_data_master, because it is equivalent to instance cal_data_sint
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|Removing sequential instance gnum_interface_block.cmp_p2l_des.cmp_data_in.inc_data_int, because it is equivalent to instance gnum_interface_block.cmp_p2l_des.cmp_data_in.ce_data[0]
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|Removing sequential instance inc_data_int, because it is equivalent to instance ce_data[0]
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":591:4:591:5|Removing sequential instance wb_ack_cnt[31:0] of view:PrimLib.dffr(prim) because there are no references to its outputs
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":579:4:579:5|Removing sequential instance wb_read_cnt[31:0] of view:PrimLib.dffr(prim) because there are no references to its outputs
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":576:4:576:5|Removing sequential instance wb_ack_cnt[31:0] of view:PrimLib.dffr(prim) because there are no references to its outputs
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":564:4:564:5|Removing sequential instance wb_write_cnt[31:0] of view:PrimLib.dffr(prim) because there are no references to its outputs
@W: BN102 |Cannot find object p2l_data_i to apply define_input_delay
@W: BN102 |Cannot find object p_wr_req_i to apply define_input_delay
@W: BN102 |Cannot find object vc_rdy_i to apply define_input_delay
@W: BN103 |Cannot find object p_wr_rdy_o to apply define_output_delay
@W: BN103 |Cannot find object l2p_data_o to apply define_output_delay
@W: BN102 |Cannot find object l_wr_rdy_i to apply define_input_delay
@W: BN102 |Cannot find object p_rd_d_rdy_i to apply define_input_delay
@N: BN225 |Writing default property annotation file /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/syn_tdc.sap.
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Tue Aug 2 16:06:37 2011
###########################################################]
@TM:1311271088
@N: :"":0:0:0:-1|Running in logic synthesis mode without enhanced optimization
@W: :"":0:0:0:-1|No start clock found for start point gnum_interface_block.cmp_wbmaster32.cmp_fifo_to_wb / prog_full (rising). Should not occur.
@W: :"":0:0:0:-1|No start clock found for start point gnum_interface_block.cmp_p2l_dma_master.cmp_to_wb_fifo / prog_full (rising). Should not occur.
@W: :"":0:0:0:-1|No start clock found for start point gnum_interface_block.cmp_l2p_ser.cmp_clk_out.loop00loop3oserdes_m / OQ (rising). Should not occur.
@W: :"":0:0:0:-1|No start clock found for start point gnum_interface_block.cmp_l2p_ser.cmp_dframe_out.loop00loop3oserdes_m / OQ (rising). Should not occur.
@W: :"":0:0:0:-1|No start clock found for start point gnum_interface_block.cmp_l2p_ser.cmp_valid_out.loop00loop3oserdes_m / OQ (rising). Should not occur.
@W: BN102 :"":0:0:0:-1|Cannot find object p2l_data_i to apply define_input_delay
@W: BN102 :"":0:0:0:-1|Cannot find object p_wr_req_i to apply define_input_delay
@W: BN102 :"":0:0:0:-1|Cannot find object vc_rdy_i to apply define_input_delay
@W: BN102 :"":0:0:0:-1|Cannot find object l_wr_rdy_i to apply define_input_delay
@W: BN102 :"":0:0:0:-1|Cannot find object p_rd_d_rdy_i to apply define_input_delay
@W: BN103 :"":0:0:0:-1|Cannot find object p_wr_rdy_o to apply define_output_delay
@W: BN103 :"":0:0:0:-1|Cannot find object l2p_data_o to apply define_output_delay
@N: BN116 :"":0:0:0:-1|Removing sequential instance state[0] of view:PrimLib.dffr(prim) because there are no references to its outputs
@N: BN116 :"":0:0:0:-1|Removing sequential instance state[1] of view:PrimLib.dffr(prim) because there are no references to its outputs
@N: BN116 :"":0:0:0:-1|Removing sequential instance state[2] of view:PrimLib.dffr(prim) because there are no references to its outputs
@N: BN116 :"":0:0:0:-1|Removing sequential instance state[3] of view:PrimLib.dffr(prim) because there are no references to its outputs
@N: FX211 :"":0:0:0:-1|Packed ROM clks_rsts_mgment.un7_word_being_sent_0[17:0] (7 input, 18 output) to Block SelectRAM
@N: FX430 :"":0:0:0:-1|Found 3 global buffers instantiated by user
@N: FX623 :"":0:0:0:-1|Packing into LUT62
@N: MF249 :"":0:0:0:-1|Running in 64-bit mode.
@N: MF257 :"":0:0:0:-1|Gated clock conversion enabled
@N: MF276 :"":0:0:0:-1|Gated clock conversion enabled, but no gated clocks found in design
@N: MF333 :"":0:0:0:-1|Generated clock conversion enabled, but no generated clocks found in design
@TM:1312220640
@W: MT305 :"":0:0:0:-1|Timing constraint (to p:tdc_led_trig5_o) (false path) was not applied to the design because none of the '-to' objects specified by the constraint exist in the design
@TM:1311271088
@W: MT305 :"":0:0:0:-1|Timing constraint (to p:spec_aux4_o) (false path) was not applied to the design because higher priority constraint(s) were applied to the paths
@W: MT305 :"":0:0:0:-1|Timing constraint (to p:spec_aux5_o) (false path) was not applied to the design because higher priority constraint(s) were applied to the paths
@W: MT305 :"":0:0:0:-1|Timing constraint (to p:tdc_led_trig1_o) (false path) was not applied to the design because none of the '-to' objects specified by the constraint exist in the design
@W: MT305 :"":0:0:0:-1|Timing constraint (to p:tdc_led_trig2_o) (false path) was not applied to the design because none of the '-to' objects specified by the constraint exist in the design
@W: MT305 :"":0:0:0:-1|Timing constraint (to p:tdc_led_trig3_o) (false path) was not applied to the design because none of the '-to' objects specified by the constraint exist in the design
@W: MT305 :"":0:0:0:-1|Timing constraint (to p:tdc_led_trig4_o) (false path) was not applied to the design because none of the '-to' objects specified by the constraint exist in the design
@N: MT320 :"":0:0:0:-1|This timing report estimates place and route data. Please look at the place and route timing report for final timing..
@W: MT420 :"":0:0:0:-1|Found inferred clock serdes_1_to_n_clk_pll_s2_diff|buf_pll_fb_clk_inferred_clock with period 5.00ns. A user-defined clock should be declared on object "n:gnum_interface_block.cmp_clk_in.buf_pll_fb_clk"
@W: MT420 :"":0:0:0:-1|Found inferred clock serdes_1_to_n_clk_pll_s2_diff|buf_P_clk_inferred_clock with period 5.00ns. A user-defined clock should be declared on object "n:gnum_interface_block.cmp_clk_in.buf_P_clk"
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":31:7:31:20|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":157:9:157:16|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":167:9:167:21|M
@N: CD231 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":187:27:187:28|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":251:4:251:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":327:4:327:5|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":327:4:327:5|M
@W: CD604 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller.vhd":444:8:444:21|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":17:7:17:29|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":25:4:25:11|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@W: CL189 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":177:4:177:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":474:4:474:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":474:4:474:5|M
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":474:4:474:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/dma_controller_wb_slave.vhd":474:4:474:5|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":41:7:41:17|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":72:6:72:15|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":75:6:75:13|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":91:6:91:15|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":183:9:183:16|M
@W: CD326 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":337:2:337:11|M
@N: CL135 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/gn4124_core_s6.vhd":746:4:746:5|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_arbiter.vhd":32:7:32:17|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":33:7:33:20|M
@W: CL246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":47:6:47:27|M
@W: CL246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":50:6:50:19|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":54:6:54:25|M
@N: CD231 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":135:26:135:27|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":173:4:173:5|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":173:4:173:5|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":173:4:173:5|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":173:4:173:5|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":215:4:215:5|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":215:4:215:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":215:4:215:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":215:4:215:5|M
@N: FX404 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":226:6:226:7|M
@N: FX404 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":261:25:261:55|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":312:4:312:5|M
@W: CD604 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":472:8:472:21|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: CL177 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":544:4:544:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":579:4:579:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_dma_master.vhd":591:4:591:5|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/l2p_ser_s6.vhd":35:7:35:13|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":31:7:31:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":98:9:98:26|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":114:9:114:19|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":187:4:187:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":187:4:187:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":220:4:220:5|M
@N: FX404 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":249:6:249:7|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_decode32.vhd":306:4:306:5|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_des_s6.vhd":34:7:34:13|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_des_s6.vhd":136:4:136:5|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":34:7:34:20|M
@W: CL246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":48:6:48:28|M
@W: CL246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":51:6:51:19|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":56:6:56:25|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":63:6:63:23|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":64:6:64:24|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":65:6:65:21|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":73:6:73:16|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":92:6:92:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":137:9:137:24|M
@N: CD231 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":172:28:172:29|M
@N: FX404 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":248:25:248:57|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":272:4:272:5|M
@W: CD604 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":362:8:362:21|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":396:4:396:5|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":458:4:458:5|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":458:4:458:5|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":458:4:458:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: CL177 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":527:4:527:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":564:4:564:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/p2l_dma_master.vhd":576:4:576:5|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":69:7:69:35|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":81:4:81:11|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":82:4:82:11|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":102:9:102:13|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":155:4:155:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":155:4:155:5|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":155:4:155:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":155:4:155:5|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":155:4:155:5|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":220:2:220:10|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":277:2:277:18|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":286:2:286:20|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":292:2:292:10|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd":408:2:408:15|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":74:7:74:30|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":74:7:74:30|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":95:9:95:14|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":95:9:95:14|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":96:9:96:15|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":96:9:96:15|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":97:9:97:13|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":97:9:97:13|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":97:9:97:13|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":101:9:101:13|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":101:9:101:13|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":108:9:108:15|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":108:9:108:15|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":111:9:111:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":111:9:111:18|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":111:9:111:18|M
@W: CD639 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":114:9:114:16|M
@W: CD639 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":114:9:114:16|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":119:9:119:19|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":119:9:119:19|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":119:9:119:19|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@W: CL189 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":141:4:141:5|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@A: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL169 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL190 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":210:4:210:5|M
@W: CL168 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":395:6:395:14|M
@W: CL168 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd":395:6:395:14|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":72:7:72:27|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":88:9:88:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":89:9:89:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":90:9:90:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":91:9:91:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":92:9:92:16|M
@W: CD639 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":93:9:93:16|M
@W: CL167 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":199:6:199:14|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd":199:6:199:14|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":72:7:72:25|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":72:7:72:25|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":87:9:87:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":87:9:87:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":88:9:88:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":88:9:88:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":89:9:89:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":89:9:89:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":90:9:90:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":90:9:90:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":91:9:91:16|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":91:9:91:16|M
@W: CD639 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":92:9:92:16|M
@W: CD639 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":92:9:92:16|M
@W: CL167 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":197:6:197:14|M
@W: CL167 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd":197:6:197:14|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":35:7:35:16|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":54:6:54:24|M
@W: CL247 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":61:6:61:18|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":66:6:66:23|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":68:6:68:16|M
@N: CD231 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":133:29:133:30|M
@N: CD231 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":154:33:154:34|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":248:4:248:5|M
@W: CD604 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":285:8:285:21|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":302:2:302:15|M
@W: MT246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":323:2:323:17|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@W: CL170 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@W: CL260 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":344:4:344:5|M
@W: CD604 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/wbmaster32.vhd":403:8:403:21|M
@W: CD286 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/xilinx_cores/fifo_32x512.vhd":43:7:43:17|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/xilinx_cores/fifo_32x512.vhd":43:7:43:17|M
@W: CD286 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/xilinx_cores/fifo_64x512.vhd":43:7:43:17|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/gnum_core/xilinx_cores/fifo_64x512.vhd":43:7:43:17|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_databus_interface.vhd":28:7:28:28|M
@W: CL246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_databus_interface.vhd":50:8:50:12|M
@W: CL246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_databus_interface.vhd":52:8:52:12|M
@N: CD231 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_databus_interface.vhd":66:40:66:41|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_databus_interface.vhd":68:7:68:18|M
@W: CD604 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_databus_interface.vhd":167:8:167:21|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":27:7:27:32|M
@TM:1312220640
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":76:7:76:16|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":76:7:76:16|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":76:7:76:16|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":76:7:76:16|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":76:7:76:16|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":76:7:76:16|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":77:7:77:16|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":77:7:77:16|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":77:7:77:16|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":77:7:77:16|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":77:7:77:16|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":77:7:77:16|M
@TM:1312220614
@N: CL177 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/acam_timecontrol_interface.vhd":79:7:79:15|M
@TM:1311271088
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":32:7:32:20|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":39:8:39:15|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":40:8:40:19|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":41:8:41:16|M
@W: CL159 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":42:8:42:19|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":51:8:51:21|M
@N: CD231 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":84:24:84:25|M
@N: CL201 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":172:7:172:17|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":178:7:178:21|M
@TM:1312220640
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":183:7:183:14|M
@TM:1311271088
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":339:8:339:19|M
@W: CD604 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":344:8:344:21|M
@TM:1312220640
@W: BN132 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":382:31:382:42|M
@TM:1311271088
@N: FX276 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/clk_rst_managr.vhd":390:41:390:50|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/countdown_counter.vhd":17:7:17:23|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/countdown_counter.vhd":37:7:37:11|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/countdown_counter.vhd":37:7:37:11|M
@TM:1312220614
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/data_formatting.vhd":28:7:28:21|M
@W: CL247 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/data_formatting.vhd":34:8:34:23|M
@W: CL246 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/data_formatting.vhd":38:8:38:24|M
@W: CL111 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/data_formatting.vhd":61:7:61:20|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/data_formatting.vhd":64:7:64:14|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/free_counter.vhd":15:7:15:18|M
@TM:1312220640
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/free_counter.vhd":35:7:35:11|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/free_counter.vhd":35:7:35:11|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/free_counter.vhd":35:7:35:11|M
@TM:1312220614
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/incr_counter.vhd":16:7:16:18|M
@TM:1312220640
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/incr_counter.vhd":36:7:36:11|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/incr_counter.vhd":36:7:36:11|M
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/incr_counter.vhd":36:7:36:11|M
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/incr_counter.vhd":36:7:36:11|M
@A: BN291 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/incr_counter.vhd":36:7:36:11|M
@TM:1312220614
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/one_hz_gen.vhd":27:7:27:16|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/start_retrigger_control.vhd":31:7:31:29|M
@TM:1312220640
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/start_retrigger_control.vhd":85:4:85:22|M
@TM:1312220614
@N: :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":29:7:29:13|M
@N: CD630 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":29:7:29:13|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":60:8:60:14|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":353:7:353:20|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":361:7:361:12|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":366:7:366:19|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":367:7:367:19|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":368:7:368:19|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":369:7:369:19|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":370:7:370:19|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":376:7:376:18|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":377:7:377:20|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":378:7:378:26|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":378:7:378:26|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":385:7:385:21|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":386:7:386:22|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":397:7:397:11|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":397:7:397:11|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":414:7:414:15|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":415:7:415:13|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":415:7:415:13|M
@W: CD638 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":416:7:416:15|M
@W: CL240 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":416:7:416:15|M
@TM:1312220640
@N: BN116 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":441:4:441:24|M
@TM:1312220614
@W: CL168 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":456:4:456:24|M
@W: CL167 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":530:4:530:23|M
@W: CL167 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":530:4:530:23|M
@W: CL245 :"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/rtl/top_tdc.vhd":530:4:530:23|M
@N: CD720 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/vhd/std.vhd":123:18:123:21|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":428:10:428:13|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":583:10:583:15|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":599:10:599:17|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":636:10:636:15|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":6062:10:6062:13|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":6077:10:6077:15|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":6266:10:6266:14|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":9014:10:9014:17|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":9185:10:9185:17|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":10943:10:10943:13|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":10957:10:10957:15|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":14184:10:14184:17|M
@N: CD630 :"/afs/cern.ch/project/parc/elec/synplify/D-2010.03/lib/xilinx/unisim.vhd":16190:10:16190:16|M
@P: Worst Slack : -5.252
@P: gnum_clk200 - Estimated Frequency : 152.9 MHz
@P: gnum_clk200 - Requested Frequency : 200.0 MHz
@P: gnum_clk200 - Estimated Period : 6.541
@P: gnum_clk200 - Requested Period : 5.000
@P: gnum_clk200 - Slack : -1.541
@P: spec_clk20 - Estimated Frequency : 53.9 MHz
@P: spec_clk20 - Requested Frequency : 20.0 MHz
@P: spec_clk20 - Estimated Period : 18.565
@P: spec_clk20 - Requested Period : 50.000
@P: spec_clk20 - Slack : 31.435
@P: tdc_clk125 - Estimated Frequency : 136.2 MHz
@P: tdc_clk125 - Requested Frequency : 125.0 MHz
@P: tdc_clk125 - Estimated Period : 7.344
@P: tdc_clk125 - Requested Period : 8.000
@P: tdc_clk125 - Slack : 0.656
@P: System - Estimated Frequency : 464.7 MHz
@P: System - Requested Frequency : 200.0 MHz
@P: System - Estimated Period : 2.152
@P: System - Requested Period : 5.000
@P: System - Slack : 2.848
@P: top_tdc Part : xc6slx45tfgg484-2
@P: top_tdc I/O primitives : 122
@P: top_tdc I/O Register bits : 78
@P: top_tdc Register bits (Non I/O) : 2643 (4%)
@P: top_tdc Block Rams : 1 of 116 (0%)
@P: top_tdc Total Luts : 1806 (5%)
@P: CPU Time : 0h:00m:23s
<table border="0" cellpadding="0" cellspacing="2">
<tr>
<td nowrap class="content" valign="top">
<body bgcolor="#e0e0ff">
<font size=3><b>Log File Links:</b><br></font>
<br><b>test_tdc_acam</b><br>
<dt><a href="/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/rpt_top_tdc.areasrr:@XP_FILE" target="srrFrame">Hierarchical Area Report (/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/test_tdc_acam/rpt_top_tdc)</a> (16:07 02-Aug)</dt><br>
<br><br><a href="/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/stdout.log:@XP_FILE" target="srrFrame">Session Log</a><br>
This source diff could not be displayed because it is too large. You can view the blob instead.
<table border="0" cellpadding="0" cellspacing="2">
<tr>
<td nowrap class="content" valign="top">
<body bgcolor="#e0e0ff">
<dl>
<font size=3><b>test_tdc_acam (syn_tdc)</b><br></font>
<b><a href="syn_tdc_srr.htm#compilerReport61" target="srrFrame">Compiler Report</a></b><br>
<b><a href="syn_tdc_srr.htm#mapperReport62" target="srrFrame">Mapper Report</a></b><br>
<b><a href="syn_tdc_srr.htm#timingReport63" target="srrFrame">Timing Report</a></b><br>
<a href="syn_tdc_srr.htm#performanceSummary64" target="srrFrame">Performance Summary</a><br>
<a href="syn_tdc_srr.htm#clockRelationships65" target="srrFrame">Clock Relationships</a><br>
<a href="syn_tdc_srr.htm#interfaceInfo66" target="srrFrame">Interface Information</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#inputPorts67" target="srrFrame">Input Ports</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#outputPorts68" target="srrFrame">Output Ports</a><br>
<a href="syn_tdc_srr.htm#clockReport69" target="srrFrame">Detailed Report for Clock: gnum_clk200</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack70" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack71" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths72" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport73" target="srrFrame">Detailed Report for Clock: spec_clk20</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack74" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack75" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths76" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport77" target="srrFrame">Detailed Report for Clock: tdc_clk125</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack78" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack79" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths80" target="srrFrame">Worst Path Information</a><br>
<a href="syn_tdc_srr.htm#clockReport81" target="srrFrame">Detailed Report for Clock: System</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#startingSlack82" target="srrFrame">Starting Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#endingSlack83" target="srrFrame">Ending Points with Worst Slack</a><br>
&nbsp;&nbsp;&nbsp;<a href="syn_tdc_srr.htm#worstPaths84" target="srrFrame">Worst Path Information</a><br>
<b><a href="syn_tdc_srr.htm#resourceUsage85" target="srrFrame">Resource Utilization</a></b><br>
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$44640<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1:BA4=6;2;%<<:4158JJUSS2HNO^L2>3;2=51=6<3CE\XZ5AEFQF974294:7?64220166479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=8720?>=<03235>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>;7082<2<>03===59984:23?>>?3=548=;7C7?3GFI11=MLONA@C24>0FIHKJMLONA@CBED`<>zfs88zigf6;0(553>L:75:483620>>3:8;0595601;1?<0?30<=5;766028=30>>03=:479974:5`=>2@D[YY4rne\ahvsqV~c~h}g<983:73<13CE\XZ5psmd[`kw|pUdk|h^cpw`ts410;2?;49;KMTPR=x{elShctx]wlwct`Vdnklzj<983:4b<13CE\XZ5psmd[cskdV~c~h}g<983:72<13CE\XZ5psmd[cskdV~c~h}g_`qpawr;03:5>956:HLSQQ<wzfmTjxbc_ujqavnXflmjxh27:1<2<>?=G\^[YY4kauc\gjsi|521<3?m;88LQQVR\3xoSio{a^alqkr;03:5=o56:NWWTPR={UomyoPcnwmp9>=8720MLON6@CB55=FIHKJMLO9A@CBEDG?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6Jl;ECWEZQNL]BTMn5KAUC\SLBS@VH97IG9;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;1<L@K7=:08;EKB84>9?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1<L@K7>808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1716:FJF969?2NBN1??>69GMG:697=0HDL313<4?AOE4895;6JFB=37:2=CAK6:9394DH@?53803MCI0<917:FJF97?6>1OEO2>9?48@LD;97=0HDL321<4?AOE4;;5;6JFB=01:2=CAK69?394DH@?61803MCI0?;17:FJF9416>1OEO2=7?58@LD;:14<7IGM<3;=2>BNJ585;6JFB=13:<=CAK68=7>17:FJF9566?1OEO2<>79GMG:36?1OEO2:>79GMG:16?1OEO28>79GMG:?6?1OEO26>69GMUG;87=0HD^N<0<4?AOWI58556JFP@>0>5803MC[M1=17:FJTG:76>1OE]L31?58@LVE4;427IG_B=194;1<L@ZI0>09;EMB85803MEJ0<>17:FLE9766>1OCL2>2?58@JG;9:4<7IAN<06=3>BHI5;>2:5KO@>22;1<LFK7=:08;EMB84>9?2NDM1?6>79GKD:66>1OCL2=0?58@JG;:84<7IAN<30=3>BHI5882:5KO@>10;1<LFK7>808;EMB8709?2NDM1<8>69GKD:507=0HBO328<5?AIF4;4<7IAN<22==>BHI59:6=08;EMB8679>2NDM1=16:FLE929>2NDM1;16:FLE909>2NDM1916:FLE9>9>2NDM1717:FLEZTBO?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HBLPRDE4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>39F06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=1M>K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[<4LH48HJGCMM=0@BIFC@N7?ISS:=1GYY=;;MWW01=K]]??7A[[649NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?;;O3361=I999?7C??459M55333G;;:95A1157?K770=1E==7<;O320>H699>0B<?>4:L2572<F8;886@>1568J472<2D:=;:4N0340>H691>0B<?63:L261=I9;:?7C?=159M57433G;9?95A1367?K75==1E=?8;;O3131=I9;2?7C?=929M562<F89;86@>3068J455<2D:?>:4N0170>H6;190B<:;;O3746=I9<90B<8;;O35=6=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<;1E9?5A729M<45<F1887C6<3:L;06=I0<90B58<;O:47>H?0:1E44<4N818J<643G3:?6@6229M=65<F0>87C7:3:L:26=I1>90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB92[j7_OBB04AS@T13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO44?VTQIEUJ;6]]V@N\F1=TQGI?7Y\ZE59W]UC4l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR9VS_JPPOVQ_1[XPFXT84Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR?VS_JPPOVQ_3[XPFXT8:Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR<VS_JPPOVQ_2[XPFXT8;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR=VS_JPPOVQ_=[XPFXT88Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS8WT[_Q_NUPX6XY_G[U<SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW<UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^2ZW^XT\CZ][4_\\JTX<VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY4WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX2XYPZVZEX_U8]^ZLVZ4XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY4YZQUWYD_^V6R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q:2^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]65Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U?5Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q;8^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]73Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY3>Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U?9Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW=SPWS]SJQT\9TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T1\]TVZVI\[Q9QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS=WT[_Q_NUPX1XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP9PQXR^RMPW]1UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U9]^UQ[UHSZR=VSUA]_3]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ5^[RTXXG^YW5SPXNP\5Zi592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\cf:76;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^22b>S7'nxm"h gbz-gim'{nT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR8>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P17]l7d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=;Q`12;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8=Tc>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]?UVxnhxmj_ymq[41Xg89m7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3;5Z~h494:?45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\5=Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P183\|j:768927X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3:[j5a3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T8\]qaasdmVrd~R<?1^zl8586;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P21]l7`=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT><?Pxn>3:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS??Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;8:Sua30?61?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV89=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ=2^m0a>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9?<Qwo=2=7<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>>Q`299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^:15>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"h gbz-gim'~xT|cz}_ckm8=8582_;#j|i.sd,cf~)keas#z|Ppovq[goiW98;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ=219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^114>S7'nxm"h gbz-gim'~xT|cz}_ckm[1473\:$kh!rg-dg}(ddbr${Qnup\flhX=;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU=>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR9=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>1^m0<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U?Sb=6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ2Xg8937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^7\k6?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS8Q`12g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW?;Ttb2?>01;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV<Tc>k4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]?UVxnhxmj_ymq[27Xpf6;2<=7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ1Xg:o0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_93\|j:768937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^:\k6b<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4?Pxn>3:6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4Q`299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^:1a>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2;>00f?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)khxyuck{<1<1a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=3=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:668887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<266=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:11328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr36a=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l6`=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l576<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j63=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTe9>4U1-dvc(un&mg<#|k/fpkb+ton&GfyuQ}al]ueiocW`dSJ@K_6;\kZKRPV;9Sb?>279V4*aun'xm#jb?.sf,cwna&{bm#ob_wcomaYc9:30Y=!hrg,qb*ak8'xo#j|gf/pkb*tfeV|j`djPiov\CKBX?0UdS@[W_00\k4b<]9%l~k }f.eo4+tc'{kfSjPeo]j515<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[3?XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP68]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY11VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_7;\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS;7Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z0>WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]5=ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ99^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>3:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^az8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\g|:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Ze~4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xkp6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vir080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Tot29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rmv<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>;:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^nvp979;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[iss4;48<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xd|~7?3=?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[k67:<Ugyy2;>228Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rbzt=7=75=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUe<=<:_mww838482_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\hpr;?79;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_o2360Yk}}632>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vf~x171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYi898>Sua}<0<04>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pxnp?6;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSc>?24]{kw:46::0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Z~hz5>5?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]m4542Wqey080<0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Ttb|36?13?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWg:;>8Qwos>4:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^zlv9>9;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[}iu4048?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@m`mqcq[Zh78:8Tot2?>218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-Ngjkwi{UTb=><2^az8484;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xkp692>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYXf9:8>Rmv<2<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySR`?020\g|:36:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~x1>1359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww8484<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~7>3=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXWg:;??Qcuu>0:62<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQPn1206Zjr|5>5?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZYi8999Sa{{dh17?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTSc>?33]oqqbn9:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~xig=359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww`l54<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~oe9==;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXf9:;9Rmv<1<06>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]`}979;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&Ghc`~nr^l3453Xkp692><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Snw33?11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTb=>?5^az8184;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_o2340Yk}}6;2>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Sa{{<0<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]oqq:56:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]m4562We0>0<3:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/LaliuguWg:;<8Qcuu>7:64<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQa0126[issl`987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Anabp`p\j567=Vf~xig>329V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVd;<=;Pltvgm7543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(Ejef|l|Pn1231Zjr|mc8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZh789?T`xzki563?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,[ZY_DGGTSR>P_^O`khvfzVd;<=;Pltvgm17<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_0]\[HeheykySc>?04]oqqbn9=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[7YXWDida}o}_o2340Yk}}nb>9?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!P_^ZOJHYXW:UTS@m`mqcq[k678<Ugyyjf3538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-\[Z^KFDUTS9QP_LaliuguWg:;<8Qcuufj017<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_4]\[HeheykySR`?020\hprca=80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[3YXWDida}o}_^l3464Xd|~oe<:=;T2,cw`)zo%l`= xr.etev(`xo$|~}h _^][HKKXWV=TSRClolrbvZYi8999Sa{{dh076>S7'nxm"h gm2-sw)`hy%k}h!wsre+ZYXPEDFSRQ7_^]Ngjkwi{UTb=><2^nvpao4<;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&UTSUBAM^]\=ZYXEjef|l|P_o2377Yk}}nb8?;4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Pn12067`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>;:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;978<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$b=>=5=0=62=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*h78;?7?3<8;T2,cw`)zo%l`= xr.etev(`xo$|~}h n1211929:>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&d;<?;35?04?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,j565=5<5>:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"`?037?3;403\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(f9:99161269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo492_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[wusxf~856[?/fpe*w`(oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX0:UdS@[W_06\k475m2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"no}rxlfp969:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#mnrs{maq:66;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:78n7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6494986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:72<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?07?P6(o{l%~k!hl1,tv*apiz$|y} c0-u59599;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:090=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:44b3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw8585m2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"no}rxlfp979:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:56;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;878?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=0=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28686::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=6=576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6;;1^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;TKCJP82]l[HS_W8>Tc?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~=299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k2`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\575<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Zebxf~956[?/fpe*w`(oe:%{!}al]tvZciW`;Toh~`t^KMRZ6502_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idycz30?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}6:2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp949:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{<2<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~783<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjq:26;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at=4=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|d0:0=8:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkr;07>;7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4:4:=9=4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^7?3Qmde20a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~P4PQHNE];5ZiXe|rT>RAZT=7=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dW5SPGOF\<4YhWdsS?Q@UU>6:45b3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byU7]^EM@Z>6WfUfyuQ=_NWW8384n2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczT8\]DJAY?9VeTaxvP2^MVP9099:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{atZ:^[BHCW1;TcRczx^0\KPR;?79m7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4>4:?h5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw_=[XOGNT4<Q`_lw{[7YH]]6329<4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^743Q\W104?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U;>n5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[5Ycxf~9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\57e<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexR?Pdqmw62=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dS?<l;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqY5Wmzdx?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ55k2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczP3^fskq403\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQ;2b9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsW=Uo|bz=7:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrX=;i0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^7\`uis:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_704?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U<>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[=4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=2=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?31?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=1<12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm;7?3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc95>5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7;=78i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g5909:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3?3;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=:=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn:S<<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc9V89m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`4Y4:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3\07g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj>_40b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=R8=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl8U<>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7X0;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18585j2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3>2:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=<3<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~Th?2<>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9090=b:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;6>2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZb54?49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7:06;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18=85i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3]36d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi<P13c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9S?<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc:V99m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7Y3:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e0\17g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=_70b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo>R9=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;U3>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;878i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7979:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1?6;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk3=1=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=34?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?1;12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm97:3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;5=5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;078j7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7Z65i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd2]26d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=P23c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn8S><n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;V>9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`6Y2:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1\27g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj<_60b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?R688:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid;m7X> gsd-vc)jmd%ln` {mq]qehYulVoe>=5Z0.eqb+ta'dof#jlb.uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!]SU]EQIJXOLD946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`44?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk23:8Q5)`zo$yj"||tcnh+btt|m;%k}{_gwoh+tt|Vn8>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4949o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;978h7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56;h0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ65j2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\57d<]9%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^01g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi30?0`?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2>>3`8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR>=b:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb5:=1^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz>c:W3+bta&{l$~~zmlj-tvZtt|Vidao?k;T2,cw`)zo%yylck.uq[wusWjefn<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo6:?ZYXPEDFSRQ>0^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6?2<=m;^]\\IHJWVU:=RQPU1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ5Xlye8i5P_^ZOJHYXW88TSR[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]45Z~h494:8h5P_^ZOJHYXW89TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=9m4_^][HKKXWV;?SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT:4Q`_lw{[7YH]]9:?o5P_^ZOJHYXW8?TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\5Zbwg}8m7RQPXMLN[ZY6>VUTY=!hrg,qb*kbe&mia#zbp^pbiZtcWld:8n5P_^ZOJHYXW8=TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P53]l[}i;87;?o6QP_YNMIZYX91UTSX> gsd-vc)`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768>i7RQPXMLN[ZY61VUTY=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP<PQXR^RMPW]6UVRD^R6Po^zl8586<k1TSRVCNL]\[76XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT>RaPxn>3:42d3VUTTA@B_^]15ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV?;SbQwo=2=51e<WVUS@CCP_^01[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<20g=XWVRGB@QP_31\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX>VeTtb2?>06a?ZYXPEDFSRQ=4^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>c:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~j2lbjbQ<2y7267?<n`ldS><w500/bl`hWnoeio{os]u2Z4+FFDN CAAE2f`?coagV99t8?=,gkekZabflxjxb|Pv7]1(~eX`hyTeczPcmi>4)eX`hyT}{=1.`[wbXn{oz1<"l_dlbficXzz~Tt`l=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk964+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>5)eXlfSzgkti?06)eXezmdbRxnl<3/gZtcWmo{xe3:13.`[`tug{Ubbgklthmm[qwm4:'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;281&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:9%iT|ah_gwohZrozlycSl}|esv\rdj:=93'obcoogmpZhfel7mekaP33z657*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0:#c^ofijt~W}s{i0?#}378bl`hW:8s9<<Pfhdl[bcim{kcQy6^0\|vrX9;l0jdh`_fgmawgsg{U}:R<# Ykomk~'KFXN,Jkaescwkw&6:'8?7k{cle9jjocd|mhbxh|}d:kmn`es{kci|8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586i2zycjQiumn\pmtb{aUj~k}t=3=5d=wzfmTjxbc_ujqavnXizyn~y2=>0c8twi`Wog`Rzgrdqk[dutm{~7?3?n;qplcZ`rdeUdk|h^cpw`ts4=4:m6~}of]eqijX|axneQnsrgqp9399h1{~biPftno[qnumzbTm~}jru>5:4g<x{elSk{cl^vkv`uoWhyxiz37?3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[dutm{~743?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki1>11`9svjaXn|fgSyf}erj\j`af|l6:2<o4psmd[cskdV~c~h}g_ogdeqc;:7;j7}|`g^dvhiYs`{oxdR`jg`vf8686i2zycjQiumn\pmtb{aUeijo{e=6=5d=wzfmTjxbc_ujqavnXflmjxh2:>0c8twi`Wog`Rzgrdqk[kc`i}o7:3?n;qplcZ`rdeUdk|h^lfcdrb4>4:o6~}of]eqijX|axneQaefcwa9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf8=813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;97jPd`vb[firf}636=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su34?qkw'Vil#kgio^11|075&gmd56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpb2g?EF=<>1J7:51zQ55?2b?3<1=><kb6`96=6djrd?894>;o671?0<,=>969=l;|Q6b?2b?3<1=><kb6`96=6dj2Y::>4;f383>455lk=i6?6?cb9P1c<3n;0;6<==dc5a>7>7kl1o8h750;395~U193>n;785120gf2d=:1:hn6x[1d194?7=93;2nv]91;6f3?0=9:8on:l5292`f>"3:l0::95Y45196~s6>j0:7x?9d;28y!7c:38:7o:j9;291g<42<hpD9<l;[74>1}5n39;6<>5d;'5`?=<l30(9:>:5gg?j32>3:17d;;f;29?j36;3:17d;93;29?j2bm3:17b:j8;29?l3203:17d;=6;29 4b32<8m7c?k3;28?l35=3:1(<j;:40e?k7c;3;07d;=4;29 4b32<8m7c?k3;08?l35;3:1(<j;:40e?k7c;3907d;=2;29 4b32<8m7c?k3;68?l3593:1(<j;:40e?k7c;3?07d;=0;29 4b32<8m7c?k3;48?l36n3:1(<j;:40e?k7c;3=07d;>e;29 4b32<8m7c?k3;:8?l3413:1(<j;:461?k7c;3:07d;<8;29 4b32<>97c?k3;38?l34?3:1(<j;:461?k7c;3807d;<6;29 4b32<>97c?k3;18?l34=3:1(<j;:461?k7c;3>07d;<4;29 4b32<>97c?k3;78?l34;3:1(<j;:461?k7c;3<07d;<2;29 4b32<>97c?k3;58?l3493:1(<j;:461?k7c;3207d;:1;29?j2en3:1(<j;:5ff?k7c;3:07b:me;29 4b32=nn7c?k3;38?j2ek3:1(<j;:5ff?k7c;3807b:mb;29 4b32=nn7c?k3;18?j2ei3:1(<j;:5ff?k7c;3>07b:m9;29 4b32=nn7c?k3;78?j2e03:1(<j;:5ff?k7c;3<07b:m7;29 4b32=nn7c?k3;58?j2e>3:1(<j;:5ff?k7c;3207b:m5;29 4b32=nn7c?k3;;8?j2e<3:1(<j;:5ff?k7c;3k07b:m3;29 4b32=nn7c?k3;`8?j2e93:1(<j;:5ff?k7c;3i07b:m0;29 4b32=nn7c?k3;f8?j2fn3:1(<j;:5ff?k7c;3o07b:ne;29 4b32=nn7c?k3;d8?j2fl3:1(<j;:5ff?k7c;3;;76a;ab83>!7c<3>oi6`>d2825>=h<hh1<7*>d587``=i9m91=?54o5cb>5<#9m>18ik4n0f0>45<3f>j57>5$0f7>1bb3g;o?7?;;:m7e=<72-;o87:ke:l2`6<6=21d8n;50;&2`1<3ll1e=i=51798k1e3290/=i:54eg8j4b428=07b:l3;29 4b32=nn7c?k3;3;?>i3k;0;6)?k4;6ga>h6l:0:565`4b394?"6l=0?hh5a1e195d=<g=i;6=4+1e690ac<f8n86<l4;n6a`?6=,8n?69jj;o3g7?7d32e?n?4?:%3g0?2cm2d:h>4>d:9l0d1=83.:h94;dd9m5a5=9l10c9o9:18'5a2=<mo0b<j<:0d8?l20m3:1(<j;:5;g?k7c;3:07d:8d;29 4b32=3o7c?k3;38?l20j3:1(<j;:5;g?k7c;3807d:8a;29 4b32=3o7c?k3;18?l2013:1(<j;:5;g?k7c;3>07d:88;29 4b32=3o7c?k3;78?l20?3:1(<j;:5;g?k7c;3<07d:86;29 4b32=3o7c?k3;58?l20=3:1(<j;:5;g?k7c;3207d:84;29 4b32=3o7c?k3;;8?l20;3:1(<j;:5;g?k7c;3k07d:82;29 4b32=3o7c?k3;`8?l2083:1(<j;:5;g?k7c;3i07d:9f;29 4b32=3o7c?k3;f8?l21m3:1(<j;:5;g?k7c;3o07d:9d;29 4b32=3o7c?k3;d8?l21k3:1(<j;:5;g?k7c;3;;76g;6c83>!7c<3>2h6`>d2825>=n<?k1<7*>d587=a=i9m91=?54i54:>5<#9m>184j4n0f0>45<3`>=47>5$0f7>1?c3g;o?7?;;:k722<72-;o87:6d:l2`6<6=21b85:50;&2`1<31m1e=i=51798m1>4290/=i:548f8j4b428=07d:72;29 4b32=3o7c?k3;3;?>o3080;6)?k4;6:`>h6l:0:565f49294?"6l=0?5i5a1e195d=<a==m6=4+1e690<b<f8n86<l4;h64g?6=,8n?697k;o3g7?7d32c?;<4?:%3g0?2>l2d:h>4>d:9j030=83.:h94;9e9m5a5=9l10e98::18'5a2=<0n0b<j<:0d8?l33<3:17o:=d;295?6=8rB?>n5+1d;907b<g8n:6=44}c33`?6=93:1<vF;2b9'5`?=99n0c<>l:188yg31290jn7?;5;3:f~N3:j1Q9:4>6z0`>7d=:m08=7<j:3d975<683n1??4<3;f96g<5k38o6?k52g804?5628:1??4<3;'5`?=<li0(8o54dc8 36=<lh0(<ml:0f3?l37?3:1(<j;:42;?k7c;3:07d;?6;29 4b32<:37c?k3;38?l37=3:1(<j;:42;?k7c;3807d;?4;29 4b32<:37c?k3;18?l37;3:1(<j;:42;?k7c;3>07d;?2;29 4b32<:37c?k3;78?l3793:1(<j;:42;?k7c;3<07d;?0;29 4b32<:37c?k3;58?l2an3:1(<j;:42;?k7c;3207d:i0;29?l3283:17d;:3;29?j32>3:17d:id;29 4b32=ln7c?k3;28?l2ak3:1(<j;:5df?k7c;3;07d:ib;29 4b32=ln7c?k3;08?l2ai3:1(<j;:5df?k7c;3907d:i9;29 4b32=ln7c?k3;68?l2a03:1(<j;:5df?k7c;3?07d:i7;29 4b32=ln7c?k3;48?l2a>3:1(<j;:5df?k7c;3=07d:i5;29 4b32=ln7c?k3;:8?l33n3:17b:i3;29?l3693:1(<j;:431?k7c;3:07d;>0;29 4b32<;97c?k3;38?l37n3:1(<j;:431?k7c;3807d;?e;29 4b32<;97c?k3;18?l37l3:1(<j;:431?k7c;3>07d;?c;29 4b32<;97c?k3;78?l37j3:1(<j;:431?k7c;3<07d;?a;29 4b32<;97c?k3;58?l3713:1(<j;:431?k7c;3207b::6;29?j21:3:1(<j;:540?k7c;3:07b:91;29 4b32=<87c?k3;38?j2183:1(<j;:540?k7c;3807b::f;29 4b32=<87c?k3;18?j22m3:1(<j;:540?k7c;3>07b::d;29 4b32=<87c?k3;78?j22k3:1(<j;:540?k7c;3<07b::b;29 4b32=<87c?k3;58?j22i3:1(<j;:540?k7c;3207b;>3;29?l31;3:17d;>c;29 4b32<;o7c?k3;28?l36j3:1(<j;:43g?k7c;3;07d;>a;29 4b32<;o7c?k3;08?l3613:1(<j;:43g?k7c;3907d;>8;29 4b32<;o7c?k3;68?l36?3:1(<j;:43g?k7c;3?07d;>6;29 4b32<;o7c?k3;48?l36=3:1(<j;:43g?k7c;3=07d;>4;29 4b32<;o7c?k3;:8?j2bm3:17b:j8;29?l3203:17d::8;29?l35>3:1(<j;:40e?k7c;3:07d;=5;29 4b32<8m7c?k3;38?l35<3:1(<j;:40e?k7c;3807d;=3;29 4b32<8m7c?k3;18?l35:3:1(<j;:40e?k7c;3>07d;=1;29 4b32<8m7c?k3;78?l3583:1(<j;:40e?k7c;3<07d;>f;29 4b32<8m7c?k3;58?l36m3:1(<j;:40e?k7c;3207d;<9;29 4b32<>97c?k3;28?l3403:1(<j;:461?k7c;3;07d;<7;29 4b32<>97c?k3;08?l34>3:1(<j;:461?k7c;3907d;<5;29 4b32<>97c?k3;68?l34<3:1(<j;:461?k7c;3?07d;<3;29 4b32<>97c?k3;48?l34:3:1(<j;:461?k7c;3=07d;<1;29 4b32<>97c?k3;:8?j22=3:17d:i1;29?l2213:17d;94;29?j32:3:17b;:7;29?l3293:17b:mf;29 4b32=nn7c?k3;28?j2em3:1(<j;:5ff?k7c;3;07b:mc;29 4b32=nn7c?k3;08?j2ej3:1(<j;:5ff?k7c;3907b:ma;29 4b32=nn7c?k3;68?j2e13:1(<j;:5ff?k7c;3?07b:m8;29 4b32=nn7c?k3;48?j2e?3:1(<j;:5ff?k7c;3=07b:m6;29 4b32=nn7c?k3;:8?j2e=3:1(<j;:5ff?k7c;3307b:m4;29 4b32=nn7c?k3;c8?j2e;3:1(<j;:5ff?k7c;3h07b:m1;29 4b32=nn7c?k3;a8?j2e83:1(<j;:5ff?k7c;3n07b:nf;29 4b32=nn7c?k3;g8?j2fm3:1(<j;:5ff?k7c;3l07b:nd;29 4b32=nn7c?k3;33?>i3ij0;6)?k4;6ga>h6l:0:=65`4``94?"6l=0?hh5a1e1957=<g=kj6=4+1e690ac<f8n86<=4;n6b=?6=,8n?69jj;o3g7?7332e?m54?:%3g0?2cm2d:h>4>5:9l0f3=83.:h94;dd9m5a5=9?10c9m;:18'5a2=<mo0b<j<:058?j2d;3:1(<j;:5ff?k7c;3;376a;c383>!7c<3>oi6`>d282=>=h<j;1<7*>d587``=i9m91=l54o5a3>5<#9m>18ik4n0f0>4d<3f>ih7>5$0f7>1bb3g;o?7?l;:m7f7<72-;o87:ke:l2`6<6l21d8l950;&2`1<3ll1e=i=51d98k1g1290/=i:54eg8j4b428l07d:8e;29 4b32=3o7c?k3;28?l20l3:1(<j;:5;g?k7c;3;07d:8b;29 4b32=3o7c?k3;08?l20i3:1(<j;:5;g?k7c;3907d:89;29 4b32=3o7c?k3;68?l2003:1(<j;:5;g?k7c;3?07d:87;29 4b32=3o7c?k3;48?l20>3:1(<j;:5;g?k7c;3=07d:85;29 4b32=3o7c?k3;:8?l20<3:1(<j;:5;g?k7c;3307d:83;29 4b32=3o7c?k3;c8?l20:3:1(<j;:5;g?k7c;3h07d:80;29 4b32=3o7c?k3;a8?l21n3:1(<j;:5;g?k7c;3n07d:9e;29 4b32=3o7c?k3;g8?l21l3:1(<j;:5;g?k7c;3l07d:9c;29 4b32=3o7c?k3;33?>o3>k0;6)?k4;6:`>h6l:0:=65f47c94?"6l=0?5i5a1e1957=<a=<26=4+1e690<b<f8n86<=4;h65<?6=,8n?697k;o3g7?7332c?::4?:%3g0?2>l2d:h>4>5:9j0=2=83.:h94;9e9m5a5=9?10e96<:18'5a2=<0n0b<j<:058?l2?:3:1(<j;:5;g?k7c;3;376g;8083>!7c<3>2h6`>d282=>=n<1:1<7*>d587=a=i9m91=l54i55e>5<#9m>184j4n0f0>4d<3`><o7>5$0f7>1?c3g;o?7?l;:k734<72-;o87:6d:l2`6<6l21b8;850;&2`1<31m1e=i=51d98m102290/=i:548f8j4b428l07d::7;29?j33l3:1(<j;:46f?k7c;3:07b;;c;29 4b32<>n7c?k3;38?j33j3:1(<j;:46f?k7c;3807b;;a;29 4b32<>n7c?k3;18?j3313:1(<j;:46f?k7c;3>07b;;8;29 4b32<>n7c?k3;78?j33?3:1(<j;:46f?k7c;3<07b;;6;29 4b32<>n7c?k3;58?j33=3:1(<j;:46f?k7c;3207b;91;29 4b32<<97c?k3;28?j3183:1(<j;:441?k7c;3;07b;:f;29 4b32<<97c?k3;08?j32m3:1(<j;:441?k7c;3907b;:d;29 4b32<<97c?k3;68?j32k3:1(<j;:441?k7c;3?07b;:b;29 4b32<<97c?k3;48?j32i3:1(<j;:441?k7c;3=07b;:9;29 4b32<<97c?k3;:8?j2a<3:17d:jf;29?j21<3:17d;;4;29?j32=3:17o:<f;295?6=8r.:i44>0e9K06c<@=8h7b??c;29?xd3<90;6<4?:1y'5`?=<;n0D9=j;I61g>i6l80;66sm2d594?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg4b>3:187>50z&2a<<68h1C8>k4H50`?!76l3?0e8650;9j27<722c:h;4?::m2`2<722wi>h750;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb2`3>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd4io0;694?:1y'5`?=99k0D9=j;I61g>"69m0>7d;7:188m34=831b=i850;9l5a1=831vn9?=:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c616?6=;3:1<v*>e88242=O<:o0D9<l;I76?!71i3;n46*>1e82?l3?2900e;<50;9l5a1=831vn9<8:180>5<7s-;n57??7:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n>;0;66a>d683>>{e<8?1<7:50;2x 4c>28:j7E:<e:J76f=O=<1/=;o51d:8 47c2<1b954?::k56?6=3`;o:7>5;n3g3?6=3th?><4?:283>5}#9l31==94H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l052900c<j8:188yg25>3:1?7>50z&2a<<68>1C8>k4H50`?M323-;=m7?j8:&25a<63`?36=44i7094?=h9m=1<75rb537>5<3290;w)?j9;33e>N3;l1C8?m4$04b>4c?3A?>7)?>d;78m0>=831b:?4?::k2`3<722e:h:4?::a04d=83>1<7>t$0g:>46f3A>8i6F;2b9'54b==2c>47>5;h41>5<<a8n=6=44o0f4>5<<uk>:m7>54;294~"6m00:<l5G42g8L14d3-;:h7;4i4:94?=n>;0;66g>d783>>i6l>0;66sm40;94?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg2583:197>50z&2a<<68k1C8>k4H50`?M323-;=m7?j8:k6<?6=3`?26=44i7094?=n9m<1<75`1e594?=zj=;m6=4;:183!7b13;;m6F;3d9K07e<,8;o685f5983>>o1:3:17d?k6;29?j7c?3:17pl;1d83>1<729q/=h7511c8L15b3A>9o6*>1e86?l3?2900e;<50;9j5a0=831d=i950;9~f174290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;%32`?3<a<21<75f6383>>o6l?0;66a>d683>>{e;1n1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd40j0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg5?j3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f6>f290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a7=c=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`0e5<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c1:b?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb2;f>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm38f94?2=83:p(<k6:04a?M24m2B?>n5f5c83>>o1=3:17d?j0;29?j7dn3:17pl<a083>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo<l3;290?6=8r.:i44>6c9K06c<@=8h7d;m:188m33=831b=h>50;9l5f`=831vn?m=:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi>n?50;694?6|,8o26<8m;I60a>N3:j1b9o4?::k51?6=3`;n<7>5;n3`b?6=3th9o=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk8h87>54;294~"6m00::o5G42g8L14d3`?i6=44i7794?=n9l:1<75`1bd94?=zj;n=6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e:m?1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd5l=0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg4c;3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f7b0290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a775=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm33094?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi???50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;;:1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74`=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30g94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<j50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;8i1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74d=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30394?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<>50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9l1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75c=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31f94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?=m50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9h1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75g=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31;94?3=83:p(<k6:02a?M24m2B?>n5+10f91>o203:17d;6:188m34=831b=i850;9l5a1=831vn>:<:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?9650;194?6|,8o26<8:;I60a>N3:j1/=<j5279'5a3=;?<0e<>i:188m4772900c<mi:188yg53l3:1?7>50z&2a<<6><1C8>k4H50`?!76l330(<j::245?l77n3:17d?>0;29?j7dn3:17pl<4383>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=;7;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39=96g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;=i1<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d48020=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb262>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm35494?3=83:p(<k6:044?M24m2B?>n5+10f962=#9m?1?;:4i02e>5<<a8;;6=44i032>5<<a8;96=44o0ae>5<<uk9?n7>55;294~"6m00:::5G42g8L14d3-;:h7:4$0f6>6033`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`005<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c171?6==3:1<v*>e88222=O<:o0D9<l;%32`?403-;o97=93:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?9o50;794?6|,8o26<88;I60a>N3:j1/=<j54:&2`0<4>:1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f65a290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a712=83?1<7>t$0g:>4003A>8i6F;2b9'54b=:>1/=i;53708m46a2900e<??:188m4762900e<?=:188k4ea2900qo=;9;291?6=8r.:i44>669K06c<@=8h7)?>d;68 4b22:<97d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd4=;0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg52?3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7)?k5;155>o68o0;66g>1183>>i6ko0;66sm34a94?5=83:p(<k6:046?M24m2B?>n5+10f9=>"6l<08:<5f11d94?=n98:1<75`1bd94?=zj:?:6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e;<<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>:5+1e79736<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c16f?6==3:1<v*>e88222=O<:o0D9<l;%32`?2<,8n>6>8?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th89=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk9>97>55;294~"6m00:::5G42g8L14d3-;:h7<8;%3g1?52n2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a70g=83?1<7>t$0g:>4003A>8i6F;2b9'54b=<2.:h84<5g9j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>:i:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?8:50;794?6|,8o26<88;I60a>N3:j1/=<j5269'5a3=;<o0e<>i:188m4772900e<?>:188m4752900c<mi:188yg5213:197>50z&2a<<6>>1C8>k4H50`?!76l3>0(<j::27f?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<4d83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=:3;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39>h6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;<21<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d4801a=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb21f>5<4290;w)?j9;60`>N3;l1C8?m4i4`94?=n9?81<75`1bd94?=zj:9o6=4<:183!7b13>8h6F;3d9K07e<a<h1<75f17094?=h9jl1<75rb20`>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm32a94?5=83:p(<k6:51g?M24m2B?>n5f5c83>>o6>;0;66a>cg83>>{e;;h1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd4;k0;6>4?:1y'5`?=<:n0D9=j;I61g>o2j3:17d?92;29?j7dn3:17pl<2`83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=<a;297?6=8r.:i44;3e9K06c<@=8h7d;m:188m4052900c<mi:188yg5513:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f65>29086=4?{%3f=?24l2B??h5G43a8m0d=831b=;<50;9l5f`=831vn><7:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?>650;194?6|,8o269=k;I60a>N3:j1b9o4?::k227<722e:ok4?::a771=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`072<72:0;6=u+1d;906b<@=9n7E:=c:k6f?6=3`;=>7>5;n3`b?6=3th8>;4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk98:7>53;294~"6m00??i5G42g8L14d3`?i6=44i041>5<<g8im6=44}c111?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb207>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm36;94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f61?290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk9<;7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl<7783>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a723=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj:=?6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo=83;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`037<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e;>;1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn9>l:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:i6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f16f290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb52:>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>7:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:<6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f161290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb526>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>;:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:lj6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`>290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d;>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h8:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l=6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`2290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d7>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h<:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l96=4::183!7b13;;n6F;3d9K07e<,8;o685f5983>>o213:17d8=:188m4b12900c<j8:188yg5b03:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f3?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j6;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n97>55;294~"6m00:<45G42g8L14d3-;:h7?4i4:94?=n=00;66g:e;29?l052900c<j8:188yg5b<3:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f7?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j2;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n=7>55;294~"6m00:<o5G42g8L14d3-;:h7;4i4:94?=n=00;66g92;29?l7c>3:17b?k7;29?xd4m90;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`3<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<d483>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8h94?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4l;0;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`5<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<cd83>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8on4?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4kh0;684?:1y'5`?=99h0D9=j;I61g>"69m0>7d;7:188m0?=831b:?4?::k2`3<722e:h:4?::a7f>=83?1<7>t$0g:>46e3A>8i6F;2b9'54b==2c>47>5;h7:>5<<a?81<75f1e494?=h9m=1<75rb357>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg40;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9;?4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm26394?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f717290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8=j7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=6d83>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a63b=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;<h6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<>b;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`15d<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:831<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn??7:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c023?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd59?0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi><;50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb337>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg46;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9no4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm2cc94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7d>290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8i47>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=b683>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6g0=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;h>6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<m4;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1f6<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:?81<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?8>:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c054?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5=o0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>8k50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb37g>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg42k3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th99o4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm24c94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7??290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd51>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;3=6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9584?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?7;:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=9283>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb3;1>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1=4<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f7?7290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;o0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;9n6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9?i4?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?=l:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=3c83>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb31b>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`17<<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f75?290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;8o6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<=c;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`16g<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:;k1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?<6:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c01<?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5:>0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>?850;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb306>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg4?>3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9484?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm29694?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7>4290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk83>7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=8083>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6=6=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;=m6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<8e;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3`;;j7>5;h324?6=3f;hj7>5;|`1a=<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3-;o97:;c:k24c<722c:==4?::m2gc<722wi?o?50;194?6|,8o26<8:;I60a>N3:j1/=<j59:k24c<722c:==4?::m2gc<722wi8=h50;694?6|,8o26<89;I60a>N3:j1/=<j5589'5a3=<=i0e<>i:188m4772900e<?>:188k4ea2900qo<j4;291?6=8r.:i44>669K06c<@=8h7)?>d;331>o68o0;66g>1183>>o6980;66g>1383>>i6ko0;66sm39794?0=83:p(<k6:04;?M24m2B?>n5+10f954=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj=8?6=4<:183!7b13;=96F;3d9K07e<,8;o6<<4i02e>5<<a8;;6=44o0ae>5<<uk>9m7>53;294~"6m00::85G42g8L14d3-;:h7?=;h33b?6=3`;:<7>5;n3`b?6=3th85;4?:483>5}#9l31=;94H51f?M25k2.:=i4=7:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?4:50;794?6|,8o26<88;I60a>N3:j1/=<j5269j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>7=:186>5<7s-;n57?97:J77`=O<;i0(<?k:358m46a2900e<??:188m4762900e<?=:188k4ea2900qo=60;291?6=8r.:i44>669K06c<@=8h7)?>d;04?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<9983>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm3`;94?3=83:p(<k6:044?M24m2B?>n5+10f960=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb2c4>5<2290;w)?j9;353>N3;l1C8?m4$03g>73<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c1b1?6==3:1<v*>e88222=O<:o0D9<l;%32`?423`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`0e6<72<0;6=u+1d;9531<@=9n7E:=c:&25a<5=2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a7dd=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f7ed290>6=4?{%3f=?71?2B??h5G43a8 47c2;?0e<>i:188m4772900e<?>:188m4752900c<mi:188yg4di3:197>50z&2a<<6>>1C8>k4H50`?!76l38>7d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd5k10;684?:1y'5`?=9?=0D9=j;I61g>"69m0996g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:j<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>85f11d94?=n98:1<75f10394?=n9881<75`1bd94?=zj;in6=4<:183!7b13;=96F;3d9K07e<,8;o6?84i02e>5<<a8;;6=44o0ae>5<<uk8oj7>55;294~"6m00:::5G42g8L14d3-;:h7<;;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th9hi4?:483>5}#9l31=;94H51f?M25k2.:=i4=4:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>il50;794?6|,8o26<88;I60a>N3:j1/=<j5249j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn?j6:186>5<7s-;n57?97:J77`=O<;i0(<?k:368m46a2900e<??:188m4762900e<?=:188k4ea2900qo<j1;297?6=8r.:i44>649K06c<@=8h7)?>d;05?l77n3:17d?>0;29?j7dn3:17pl=fb83>1<729q/=h751748L15b3A>9o6*>1e816>o68o0;66g>1183>>o6980;66a>cg83>>{e;9<1<7950;2x 4c>28<27E:<e:J76f=#98n1?85f11d94?=n98:1<75f10394?=n9881<75f10194?=n98>1<75`1bd94?=zj::?6=49:183!7b13;=46F;3d9K07e<,8;o6>:4i02e>5<<a8;;6=44i032>5<<a8;96=44i030>5<<g8im6=44}c0f`?6=;3:1<v*>e88220=O<:o0D9<l;%32`?7>3-;o97:;a:k24c<722c:==4?::m2gc<722wi>hk50;694?6|,8o26<89;I60a>N3:j1/=<j51e9'5a3=<=k0e<>i:188m4772900e<?>:188k4ea2900qo=me;290?6=8r.:i44>679K06c<@=8h7)?>d;01?l77n3:17d?>0;29?l7693:17b?lf;29?xd4k<0;6:4?:1y'5`?=9?30D9=j;I61g>"69m0896g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>cg83>>{e;j91<7850;2x 4c>28<37E:<e:J76f=#98n1?95f11d94?=n98:1<75f10394?=n9881<75f10194?=h9jl1<75rb36b>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<a8:m6=44i033>5<<g8im6=44}c07g?6=<3:1<v*>e88223=O<:o0D9<l;%32`?4?3-;o97:;9:k24c<722c:==4?::k254<722e:ok4?::a6d>=8391<7>t$0g:>4023A>8i6F;2b9'54b=901b==h50;9j546=831d=nh50;9~f7gf290?6=4?{%3f=?71>2B??h5G43a8 47c2;20(<j::56;?l77n3:17d?>0;29?l7693:17b?lf;29?xd6n00;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d48715=n99l1<75f10294?=h9jl1<75rb0d;>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69;?;h33b?6=3`;:<7>5;n3`b?6=3th:j:4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3==1b==h50;9j546=831d=nh50;9~f4`129086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::577?l77n3:17d?>0;29?j7dn3:17pl>f483>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9>5f11d94?=n98:1<75`1bd94?=zj8l?6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1343`;;j7>5;h324?6=3f;hj7>5;|`2b6<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4g9j55`=831b=<>50;9l5f`=831vn<h=:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>m7d??f;29?l7683:17b?lf;29?xd6n80;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d4870`=n99l1<75f10294?=h9jl1<75rb0d3>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69:j;h33b?6=3`;:<7>5;n3`b?6=3th:ik4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3=;1b==h50;9j546=831d=nh50;9~f4cb29086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::571?l77n3:17d?>0;29?j7dn3:17pl>ee83>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9<5f11d94?=n98:1<75`1bd94?=zj8oh6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1363`;;j7>5;h324?6=3f;hj7>5;|`2ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4e9j55`=831b=<>50;9l5f`=831vn<kn:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>o7d??f;29?l7683:17b?lf;29?xd5<m0;684?:1y'5`?=9?=0D9=j;I61g>"69m0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:=l1<7850;2x 4c>28<37E:<e:J76f=#98n1>45+1e7901?<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o0ae>5<<uk8>=7>57;294~"6m00::45G42g8L14d3-;:h7?l;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th9mo4?:483>5}#9l31=;94H51f?M25k2.:=i4>b:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>lj50;494?6|,8o26<87;I60a>N3:j1/=<j5289'5a3=<=20e<>i:188m4772900e<?>:188m4752900e<?<:188k4ea2900qo<nf;293?6=8r.:i44>689K06c<@=8h7)?>d;3`?l77n3:17d?>0;29?l7693:17d?>2;29?l76;3:17d?>4;29?j7dn3:17pl<0983>6<729q/=h751778L15b3A>9o6*>1e817>o68o0;66g>1183>>i6ko0;66sm31594?1=83:p(<k6:04:?M24m2B?>n5+10f970=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb2a4>5<4290;w)?j9;351>N3;l1C8?m4$03g>75<a8:m6=44i033>5<<g8im6=44}c1`2?6=?3:1<v*>e8822<=O<:o0D9<l;%32`?523`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;hj7>5;|`11=<72>0;6=u+1d;953?<@=9n7E:=c:&25a<6k2c:<k4?::k255<722c:=<4?::k257<722c:=>4?::k251<722e:ok4?::a6d3=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=9j1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f734290<6=4?{%3f=?7112B??h5G43a8 47c28i0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg42>3:187>50z&2a<<6>?1C8>k4H50`?!76l3;j7d??f;29?l7683:17d?>1;29?j7dn3:17pl=5483>2<729q/=h7517;8L15b3A>9o6*>1e81e>o68o0;66g>1183>>o6980;66g>1383>>o69:0;66g>1583>>i6ko0;66sm2c394?1=83:p(<k6:04:?M24m2B?>n5+10f95f=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb3c0>5<3290;w)?j9;352>N3;l1C8?m4$03g>4g<a8:m6=44i033>5<<a8;:6=44o0ae>5<<uk8j>7>57;294~"6m00::45G42g8L14d3-;:h7<n;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th?>54?:583>5}#9l31=;84H51f?M25k2.:=i4>019j55`=831b=<>50;9j547=831d=nh50;9~f142290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;h7;>5<<a?81<75f1e494?=h9m=1<75rb2:0>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd40=0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0j7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?j7dn3:17pl<2e83>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm33g94?5=83:p(<k6:046?M24m2B?>n5+10f963=n99l1<75f10294?=h9jl1<75rb20e>5<4290;w)?j9;351>N3;l1C8?m4$03g>70<a8:m6=44i033>5<<g8im6=44}c104?6=;3:1<v*>e88220=O<:o0D9<l;%32`?413`;;j7>5;h324?6=3f;hj7>5;|`074<72:0;6=u+1d;9533<@=9n7E:=c:&25a<5>2c:<k4?::k255<722e:ok4?::a764=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f65429086=4?{%3f=?71=2B??h5G43a8 47c2;<0e<>i:188m4772900c<mi:188yg54<3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7d??f;29?l7683:17b?lf;29?xd4;<0;6>4?:1y'5`?=9??0D9=j;I61g>"69m09:6g>0g83>>o6990;66a>cg83>>{e:on1<7;50;2x 4c>28<<7E:<e:J76f=#98n1=h5+1e79010<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c134?6=>3:1<v*>e8822==O<:o0D9<l;%32`?7a3-;o97:;6:k24c<722c:==4?::k254<722c:=?4?::k256<722e:ok4?::a754=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=:91b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f6e6290<6=4?{%3f=?7112B??h5G43a8 47c2;:0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg5e:3:1?7>50z&2a<<6><1C8>k4H50`?!76l330e<>i:188m4772900c<mi:188yg5c;3:187>50z&2a<<6>?1C8>k4H50`?!76l3;;<6g>0g83>>o6990;66g>1083>>i6ko0;66sm3b;94?5=83:p(<k6:046?M24m2B?>n5+10f95<=#9m?189l4i02e>5<<a8;;6=44o0ae>5<<uk9o=7>57;294~"6m00::45G42g8L14d3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th8oi4?:483>5}#9l31=;94H51f?M25k2.:=i4>e:&2`0<3<>1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f6ee290?6=4?{%3f=?71>2B??h5G43a8 47c28n0(<j::56a?l77n3:17d?>0;29?l7693:17b?lf;29?xd4ko0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0:j6*>d48702=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj;oh6=4=:183!7b13;==6F;3d9K07e<a8:n6=44o0ae>5<<uk9i87>52;294~"6m00::<5G42g8L14d3`;;i7>5;n3`b?6=3th?<i4?:ca94?6|,8o26<j7;I60a>N3:j1Q9:4l{01950<b2>036n4>7;37>4>=n3;=6o4rn71961=i>=0986`k3;28jc3=82.:<>4>079'552=9l20(<k::39'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<k53:&25c<43-;9<7=4$002>6=#9;81?6*>2280?!75<390(<<::29'570=;2.:>:4<;%31<?5<,8826>5+13c97>"6:k087)?=c;18 44c2:1/=?k53:&26c<43-;8<7=4$012>6=#9:81?6*>3280?!74<390(<=::29'560=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=;>51bg8 4bc28o<7)?ke;7:?!7cn3?27)?j1;77?!7b:3;n86*>5d81?!72n380(9=n:51:?!24j3>856*>e781?l7c13:17d;l:188m4652900e<jl:188m0b=831b=io50;9j5ad=831b==?50;9jef<72-;o87om;o3g7?6<3`kj6=4+1e69eg=i9m91=65fa883>!7c<3ki7c?k3;08?lg?290/=i:5ac9m5a5=;21b8>=50;&2`1<3;;1e=i=50:9j067=83.:h94;339m5a5=921b8>>50;&2`1<3;;1e=i=52:9j07`=83.:h94;339m5a5=;21doo4?:%3g0?ef3g;o?7>4;na:>5<#9m>1ol5a1e195>=hk>0;6)?k4;ab?k7c;3807bm9:18'5a2=kh1e=i=53:9lg0<72-;o87mn;o3g7?2<3fi?6=4+1e69gd=i9m91965`c283>!7c<3ij7c?k3;48?je5290/=i:5c`9m5a5=?21do<4?:%3g0?ef3g;o?764;na3>5<#9m>1ol5a1e19=>=hjo0;6)?k4;ab?k7c;3k07blj:18'5a2=kh1e=i=5b:9lff<72-;o87mn;o3g7?e<3fhi6=4+1e69gd=i9m91h65`b`83>!7c<3ij7c?k3;g8?jd>290/=i:5c`9m5a5=n21dn54?:%3g0?ef3g;o?7??;:ma3?6=,8n?6no4n0f0>47<3fh=6=4+1e69gd=i9m91=?54oc794?"6l=0hm6`>d2827>=hj=0;6)?k4;ab?k7c;3;?76am3;29 4b32jk0b<j<:078?jb5290/=i:5c`9m5a5=9?10ci?50;&2`1<di2d:h>4>7:9l`5<72-;o87mn;o3g7?7?32ehj7>5$0f7>fg<f8n86<74;naf>5<#9m>1ol5a1e195d=<gjn1<7*>d58`e>h6l:0:n65`cb83>!7c<3ij7c?k3;3`?>id03:1(<j;:bc8j4b428n07blk:18'5a2=kh1e=i=51d98kg4=83.:h94la:l2`6<6n21b?o4?:%3g0?5f3g;o?7>4;h1:>5<#9m>1?l5a1e195>=n;10;6)?k4;1b?k7c;3807d=8:18'5a2=;h1e=i=53:9j01<72-;o87=n;o3g7?2<3`>86=4+1e697d=i9m91965f4383>!7c<39j7c?k3;48?l26290/=i:53`9m5a5=?21b8=4?:%3g0?5f3g;o?764;h1e>5<#9m>1?l5a1e19=>=n;l0;6)?k4;1b?k7c;3k07d=k:18'5a2=;h1e=i=5b:9j7f<72-;o87=n;o3g7?e<3`9=6=4+1e697d=i9m91h65f4`83>!7c<3>27c?k3;28?l2?290/=i:5489m5a5=921b8:4?:%3g0?2>3g;o?7<4;h65>5<#9m>1845a1e197>=n=:0;6)?k4;6:?k7c;3>07d;=:18'5a2=<01e=i=55:9j14<72-;o87:6;o3g7?0<3`?;6=4+1e690<=i9m91;65f4g83>!7c<3>27c?k3;:8?l2b290/=i:5489m5a5=121b8i4?:%3g0?2>3g;o?7o4;h6`>5<#9m>1845a1e19f>=n<k0;6)?k4;6:?k7c;3i07d:::18'5a2=<01e=i=5d:9je5<72-;o877i;o3g7?6<3`3n6=4+1e69=c=i9m91=65f9b83>!7c<33m7c?k3;08?l?e290/=i:59g9m5a5=;21b5l4?:%3g0??a3g;o?7:4;h;:>5<#9m>15k5a1e191>=n110;6)?k4;;e?k7c;3<07d78:18'5a2=1o1e=i=57:9j=3<72-;o877i;o3g7?><3`3>6=4+1e69=c=i9m91565f9583>!7c<33m7c?k3;c8?l?4290/=i:59g9m5a5=j21b5<4?:%3g0??a3g;o?7m4;h;3>5<#9m>15k5a1e19`>=n0o0;6)?k4;;e?k7c;3o07d6j:18'5a2=1o1e=i=5f:9j<a<72-;o877i;o3g7?7732c3o7>5$0f7><`<f8n86<?4;h:a>5<#9m>15k5a1e1957=<a1k1<7*>d58:b>h6l:0:?65f8883>!7c<33m7c?k3;37?>o?03:1(<j;:8d8j4b428?07do8:18'5a2=1o1e=i=51798md0=83.:h946f:l2`6<6?21bm84?:%3g0??a3g;o?7?7;:kb0?6=,8n?64h4n0f0>4?<3`k86=4+1e69=c=i9m91=l54i`094?"6l=02j6`>d282f>=ni80;6)?k4;;e?k7c;3;h76g6d;29 4b320l0b<j<:0f8?l?5290/=i:59g9m5a5=9l10e5950;&2`1<>n2d:h>4>f:9lbd<72-;o87h6;o3g7?6<3fl36=4+1e69b<=i9m91=65`f683>!7c<3l27c?k3;08?j`1290/=i:5f89m5a5=;21bn<4?:%3g0?d73g;o?7>4;hce>5<#9m>1n=5a1e195>=nil0;6)?k4;`3?k7c;3807dok:18'5a2=j91e=i=53:9lbc<72-;o87hj;o3g7?6<3flo6=4+1e69b`=i9m91=65`fb83>!7c<3ln7c?k3;08?j`e290/=i:5fd9m5a5=;21dii4?:%3g0?cd3g;o?7>4;nga>5<#9m>1in5a1e195>=hm00;6)?k4;g`?k7c;3807bk7:18'5a2=mj1e=i=53:9la2<72-;o87kl;o3g7?2<3fo=6=4+1e69af=i9m91965`e483>!7c<3oh7c?k3;48?jc3290/=i:5eb9m5a5=?21di>4?:%3g0?cd3g;o?764;ng1>5<#9m>1in5a1e19=>=hm80;6)?k4;g`?k7c;3k07bk?:18'5a2=mj1e=i=5b:9l``<72-;o87kl;o3g7?e<3fno6=4+1e69af=i9m91h65`db83>!7c<3oh7c?k3;g8?jbe290/=i:5eb9m5a5=n21dhl4?:%3g0?cd3g;o?7??;:mg=?6=,8n?6hm4n0f0>47<3fn36=4+1e69af=i9m91=?54oe594?"6l=0no6`>d2827>=hl?0;6)?k4;g`?k7c;3;?76ak5;29 4b32li0b<j<:078?j`3290/=i:5eb9m5a5=9?10ck=50;&2`1<bk2d:h>4>7:9lb7<72-;o87kl;o3g7?7?32em=7>5$0f7>`e<f8n86<74;nd3>5<#9m>1in5a1e195d=<gll1<7*>d58fg>h6l:0:n65`ed83>!7c<3oh7c?k3;3`?>ibi3:1(<j;:da8j4b428n07bji:18'5a2=mj1e=i=51d98ka2=83.:h94jc:l2`6<6n21b8>650;&2`1<3;>1e=i=50:9j060=83.:h94;369m5a5=921b8>;50;&2`1<3;>1e=i=52:9j062=83.:h94;369m5a5=;21b;k4?:%3g0?1b3g;o?7>4;h5g>5<#9m>1;h5a1e195>=n?k0;6)?k4;5f?k7c;3807d9n:18'5a2=?l1e=i=53:9j3<<72-;o879j;o3g7?2<3`=36=4+1e693`=i9m91965f7683>!7c<3=n7c?k3;48?l11290/=i:57d9m5a5=?21b;84?:%3g0?1b3g;o?764;h57>5<#9m>1;h5a1e19=>=n?:0;6)?k4;5f?k7c;3k07d9=:18'5a2=?l1e=i=5b:9j35<72-;o879j;o3g7?e<3`<m6=4+1e693`=i9m91h65f6d83>!7c<3=n7c?k3;g8?l0c290/=i:57d9m5a5=n21b:n4?:%3g0?1b3g;o?7??;:k5f?6=,8n?6:k4n0f0>47<3`<j6=4+1e693`=i9m91=?54i7;94?"6l=0<i6`>d2827>=n>10;6)?k4;5f?k7c;3;?76g97;29 4b32>o0b<j<:078?l>1290/=i:57d9m5a5=9?10e5;50;&2`1<0m2d:h>4>7:9j<1<72-;o879j;o3g7?7?32c3?7>5$0f7>2c<f8n86<74;h:1>5<#9m>1;h5a1e195d=<a1;1<7*>d584a>h6l:0:n65f8183>!7c<3=n7c?k3;3`?>o0k3:1(<j;:6g8j4b428n07d9>:18'5a2=?l1e=i=51d98m30=83.:h948e:l2`6<6n21v97j:181[20m27?<i48f:p0<e=838pR99k;<63`?1c3ty?5l4?:3y]02d<5=:o6:l4}r6:=?6=:rT?;l5241f93d=z{=336=4={_64=>;38m0<56s|48594?4|V==370:?d;5;?xu31?0;6?uQ4658916c2>=0q~:65;296~X3??168=j5779~w1?32909wS:85:?74a<0=2wx84=50;0xZ11334>;h79;;|q7=7<72;qU8:=4=52g>25<uz>2=7>52z\737=:<9n1;?5rs5:e>5<5sW><<63;0e844>{t<1o1<7<t^54e?827l3<m7p};8e83>7}Y<?o019>k:7g8yv2?k3:1>vP;6e9>05b=>m1v96m:181[21k27?<i49c:p0=g=838pR98m;<63`?0e3ty?444?:3y]03g<5=:o6;o4}r6;<?6=:rT?:45241f92<=z{=2<6=4={_65<>;38m0=46s|49494?4|V=<<70:?d;44?xu3i<0;6?uQ4968916c21<0q~:n4;296~X30:168=j5849~w1g42909wS:72:?74a<?<2wx8l<50;0xZ1>634>;h76<;|q7e4<72;qU85>4=52g>=4<uz>j<7>52z\73c=:<9n14<5rs5;e>5<5sW><o63;0e8;4>{t<0h1<7<t^552?827l3=h7p};9183>7}Y<?<019>k:638yv2?=3:1>vP;649>05b=>?1v8=?:180[35>2789:4>0g9>70e=98:0q~;=e;297~X2:<16?8851038963e28;97p}:2e83>6}Y=;>01>;9:02e?852j3;:=6s|53a94?5|V<8870=:5;325>;4=h0:=?5rs40a>5<4sW?9>63<54824c=:;<k1=<?4}r71e?6=;rT>><523469547<5:?26<?=;|q66<<72:qU9?>4=277>46a349>57?>1:p17>=839pR8?i;<167?769278954>139~w0402908wS;>e:?016<68o16?8651038yv33;3:1?vP:389>71>=99l01>:k:033?xu2<80;6>uQ52:8962028;:70=;c;326>{t==:1<7=t^414?853?3;;j63<4b8254=z{<9m6=4<{_702>;4<?0:=<5235`9544<uz?8i7>53z\670=:;=<1==h4=26a>4763ty>?i4?:2y]162<5:>>6<?>;<17e?76:2wx9>m50;1xZ054349?97??f:?00d<6981v8=m:180[34:278894>109>71?=9880q~;<a;297~X2;816?9:511d8962>28;:7p}:4583>17|V<>?70<j7;7;?84b>3?370<j9;7;?825:3?370:=1;7;?826j3?370:>a;7;?82613?370:=0;7;?855;3?370==2;7;?85593?370==0;7;?856n3?370=>e;7;?856l3?370=>c;7;?856j3?370=>1;7;?85683?370=?f;7;?857m3?370=?d;7;?857k3?370=?b;7;?857i3?370=?9;7;?840<3?370<83;7;?840:3?370<81;7;?84083?370<9f;7;?841m3?370<9d;7;?841k3?370<mb;7;?84ei3?370<m9;7;?84e03?370<m7;7;?84e>3?370<m5;7;?84e<3?370<m3;7;?84>03?370<67;7;?84>>3?370<65;7;?84><3?370<63;7;?84>:3?370<61;7;?84>83?370<=d;7;?845k3?370<=b;7;?845i3?370<=9;7;?84503?370<=7;7;?845>3?370<=5;7;?827l3?o7p}:4g83>0}Y==l01?km:02e?84b03;;j63;0g824c=::l>1==h4}r765?6=?rT>9<5240091`=:<8?1=i84=537>4b134>9<7?k6:?756<6l?168?;51e48yv3203:19kuQ54:896d72<201>oi:4:891752<2019<8:4:891722<2019<9:4:891732<2019?i:4:8917b2<2019?<:4:8961>2<201>97:4:896102<201>99:4:896122<201>9;:4:896142<201>9=:4:896162<2019>l:4:8916e2<2019>n:4:8916>2<2019>7:4:891602<2019>9:4:891622<2019>;:4:896`f2<201>h6:4:896`?2<201>h8:4:896`12<201>h::4:896`32<201>h<:4:896`52<201>k7:4:896c02<201>k9:4:896c22<201>k;:4:896c42<201>k=:4:896c62<201>k?:4:896b12<201>j::4:896b32<201>j=:4:896b72<201>mj:4:896ed2<201>mn:4:896e?2<201??m:4:8977f2<201??6:4:8977?2<201??8:4:897712<201??::4:897732<201??<:4:897052<201?8>:4:897072<201?;i:4:8973b2<201?;k:4:8973d2<201?;m:4:8973f2<201?=i:4:8975b2<201?=k:4:8975d2<201?=m:4:8975f2<201?=6:4:8975?2<201?=8:4:897>12<201?6::4:897>32<201?6<:4:897>52<201?6>:4:897>72<201?9i:4:8971b2<2019<::4:896>42<2019>k:4a8yv31;3:1mvP:629>7g7=99l01>6::02e?85e:3;;j63<d2824c=:;j31==h4=2f2>477349hh7?>0:?0gg<69916?nh51028yv21<3:1;ouQ4708Z1063W>=<6P;5g9]00c<V=?o7S::c:\71g=Y<<k0R8:k;_77g>X2<k1U99o4^46:?[3302T>8:5Q5548Z0223W?==6P:619]10`<V<?n7S;:d:\61f=Y=<h0R8;n;_76=>X3=<1U8884^5d0?[2a<2T>985Q5458Z0353W>=863;3g824f=:<8h1:?5240c927=:<831:?52432927=:<8l1:?5240g927=:<891:?5239f920=:;1i1:85239`920=:;1k1:85239g920=:;h:1:85238d920=:;0o1:85238f920=:;h;1:8522b1920=::j81:8522b3920=::j:1:8522b6920=::m<1:8522e7920=::m>1:8522e1920=::m=1:85233691g=:;;>1=h>4=50;>47634>;h7?k9:?74a<6lh168=j51e`8916c2hi019>k:`c8916c2h3019>k:`:8916c2:l019>k:2g8916c2:n019>k:2a8916c2:<019>k:5g8916c2=n019>k:5a8916c2=h019>k:578916c2h:019>k:8g8916c20i019>k:8`8916c20k019>k:8;8916c202019>k:858916c20<019>k:878916c20>019>k:818916c20;019>k:828916c21l019>k:9g8916c21n019>k:9a8916c21h019>k:9c8916c213019>k:9:8916c2h=019>k:`48916c2h?019>k:`68916c2h9019>k:`08916c2h;019>k:8f8916c208019>k:958916c2k;019>k:`d8916c2ho019>k:`f8916c2=9370:?d;602>;38m0??85241f9062<uz>oj7>52z\7fc=:<9n1ii5rs5fg>5<5sW>ii63;0e8ff>{t<mh1<7<t^5``?827l3o27p};d`83>7}Y<kh019>k:d:8yv2c13:1>vP;b`9>05b=m>1v9j7:181[2e127?<i4j6:p0a1=838pR9l7;<63`?c23ty?h;4?:3y]0g1<5=:o6h:4}r6g1?6=:rT?n;5241f9a6=z{=n?6=4={_6a1>;38m0n>6s|4e194?4|V=h?70:?d;g2?xu3l;0;6?uQ4c18916c2l:0q~:k0;296~X3j8168=j5dd9~w1ea2909wS:m0:?74a<cl2wx8nk50;0xZ1ga34>;h7jl;|q7ga<72;qU8lk4=52g>ad<uz>ho7>52z\7ea=:<9n1hl5rs5aa>5<5sW>jo63;0e8g=>{t<jk1<7<t^5ca?827l3n37p};c883>7}Y<hk019>k:e58yv2d03:1>vP;a89>05b=l?1v9m8:181[2f027?<i4k5:p0`0=838pR9m:;<63`?`33ty?i84?:3y]0f2<5=:o6k=4}r6f0?6=:rT?o>5241f9b7=z{=o86=4={_6`6>;38m0m=6s|4d094?4|V=i:70:?d;d3?xu3m80;6?uQ4b28916c2ll0q~:j0;296~X3jm168=j5ed9~w1bd2909wS:m2:?74a<bi2wx8i?50;0xZ1g034>;h7ji;|q7g3<72;qU8l84=52g>a2<uz8n;7>53z\7a==::l=1=i94=3g;>4773ty8n=4?:3y]0`c<5:h;6<j8;|q0<6<72:qU9<=4=2:0>4b0349387??f:p6`?=838pR8;9;<0f=?7c?2wx=;k50;5x912728n:70=7a;7a?85>l3?i70<l0;7a?84c;3?i70=<f;7a?853m3?i7p}=e283>6}::l=1:?522d4927=::l>1=nh4}r62<?6=9?q6>h951e4897c128n=70<j9;7f?826j3;o;63<2286a>;4:;0>i63<2086a>;4:90>i63<1g86a>;49l0>i63<1e86a>;49j0>i63<1c86a>;4980>i63<1186a>;48o0>i63<0d86a>;48m0>i63<0b86a>;48k0>i63<0`86a>;4800:h;5rs3g5>5<3s48n:7?k7:?1ag<699168=h5103897c328;;7p}=e`83>7}::l31:?522d:95f`<uz9jh7>53z?0f5<1:278mk492:?0<0<6ko1v9?;:18685e83;o:63<ag82`3=:<8?1:?5240695a1<5:286<j9;|q0ec<72?q6?lh51e5896d628;;70=75;325>;40=0:=<523c09546<5:h?6<>j;|q750<72;q68<<5639>043=9m=0q~:>2;297~;39;0:h:523979546<5:2?6<??;|q764<72:q68?<5639>077=9m=019<?:4;8yv25:3:1>v3;2382`2=:<;>1==h4}r612?6=;r7?>:492:?763<6l>168?6511d8yv25?3:1>v3;2682`2=:<;k1==h4}r614?6=;r7?><492:?765<6l>168?:51028yv25=3:18v3;27856>;3:h0:==5243:9546<5=8>6<j8;|q756<72;q68<:5639>045=9m=0q~:=3;290~;39k0:h;5240c95a0<5=;26<j9;<610?7dn2wx8<950;06826i3;o;63=7586a>;5?:0>i63=7386a>;5?80>i63=7186a>;5>o0>i63=6d86a>;5>m0>i63=6b86a>;5jk0>i63=b`86a>;5j00>i63=b986a>;5j>0>i63=b786a>;5j<0>i63=b586a>;5j:0>i63=9986a>;51>0>i63=9786a>;51<0>i63=9586a>;51:0>i63=9386a>;5180>i63=9186a>;5:m0>i63=2b86a>;5:k0>i63=2`86a>;5:00>i63=2986a>;5:>0>i63=2786a>;5:<0>i6s|40494?5|5=;26<j8;<63b?76827?<i4>db9~w14>2908w0:>f;3g2>;39l0:h;5243c95f`<uz>:h7>52dy>04`=9m=01>96:4g8961?2<o01>98:4g896112<o01>9::4g896132<o01>9<:4g896152<o01>9>:4g8916d2<o019>m:4g8916f2<o019>6:4g8916?2<o019>8:4g891612<o019>::4g891632<o01>hn:4g896`>2<o01>h7:4g896`02<o01>h9:4g896`22<o01>h;:4g896`42<o01>h=:0f5?85b03?n70=j7;7f?85b>3?n70=j5;7f?85b<3?n70=j3;7f?85b:3?n70=j1;3g2>;4m90>i63<d786a>;4l<0>i63<d586a>;4l;0>i63<d186a>;4kl0>i63<cb86a>;4kh0:h;523b:95a0<uz>:o7>524y>04c=9m=01??m:4g8977f2<o01??6:4g8977?2<o01??8:4g897712<o01??::4g897732<o01??<:4g897052<o01?8>:4g897072<o01?;i:4g8973b2<o01?;k:4g8973d2<o01?;m:4g8973f2<o01?=i:4g8975b2<o01?=k:4g8975d2<o01?=m:4g8975f2<o01?=6:4g8975?2<o01?=8:4g897>12<o01?6::4g897>32<o01?6<:4g897>52<o01?6>:4g897>72<o01?9i:4g8971b2<o0q~=78;296~;40m0>n63<8b82gc=z{:3>6=4={<1;`?7b82785;4>cg9~w6>>2909w0=7d;3`b>;40l0>n6s|39594?4|5:2h68l4=2:a>4ea3ty85>4?:3y>7=e=9l:01>7;:0ae?xu40?0;6?u239`91g=:;1k1=nh4}r1:5?6=:r784o4>e19>7<4=9jl0q~=7f;296~;40h0:i=5238295f`<uz92;7>52z?0<`<6m916?4651bd8yv5fk3:1>v3<8d82gc=:;1?1=<=4}r1:f?6=:r78m=4:b:?0=c<6ko1v>o7:18185f83;n<63<a882gc=z{:3h6=4={<1b4?7dn278m<4:b:p7<g=838p1>7i:4`896?b28im7p}<a783>7}:;0l1=h>4=2c4>4ea3ty8544?:3y>7<c==k16?4j51bd8yv5f<3:1>v3<9d82a5=:;h?1=nh4}r1b6?6=:r785i4>e19>7d5=9jl0q~=na;296~;4i80:i=523``95f`<uz9ji7>52z?0e4<6ko16?5;51008yv4em3:1>v3=c286f>;5k;0:ok5rs3aa>5<5s48h?7?j0:?1gf<6ko1v?li:18184d;3;hj63=c586f>{t:kn1<7<t=3a1>0d<5;i:6<mi;|q1g<<72;q6>n<51d2897ef28im7p}=bb83>7}::j;19o522b295f`<uz8h;7>52z?1g4<6m916>n651bd8yv4d=3:1>v3=c182a5=::j<1=nh4}r0``?6=:r79o94>e19>6fc=9jl0q~<j2;296~;5k=0:ok522d69547<uz8o=7>52z?1`3<2j279h84>cg9~w7bb2909w0<k6;3f4>;5lo0:ok5rs3f1>5<5s48o:7?lf:?1`2<2j2wx>i>50;0x97b22<h01?j;:0ae?xu5lj0;6?u22e795`6<5;no6<mi;|q1gc<72;q6>i:55c9>6a5=9jl0q~<ka;296~;5l=0:i=522e`95f`<uz8o47>52z?1`6<6m916>i751bd8yv4b83:1>v3=d682a5=::l;1=nh4}r0f1?6=:r79h:4>cg9>6`2=9880q~:>0;2956}:;;91945233091<=:;;;1945233291<=:;8l1945230g91<=:;8n1945230a91<=:;8h1945230391<=:;8:1945231d91<=:;9o1945231f91<=:;9i1945231`91<=:;9k1945231;91<=::lh1=nh4}r0e3?6=<r78>>492:?054<6l>16>h?51028966028:m7p}<1`83>0}:;;91=i94=30g>34<5;in6<??;<3e5?76827?<i4;a:p6c0=83?p1><=:708967728n<70<kf;325>;48?0:<k523159546<uz9:57>55z?067<6l>16>nm5100894`628:m70?i0;324>;38m0?46s|2g794?0|5:8:6;<4=22e>4b0348oj7?>2:?043<69916?=:511d8966?28:m7p}<1983>0}:;;;1=i94=3a`>47634;m<7??f:?2ac<699168=j5469~w7`3290<w0==0;41?857m3;o;63=de8254=:;9<1=<?4=227>477349;47?>0:?047<68o1v>?8:18685583;o;63=c`8257=:9ll1==h4=0gf>47734>;h7:9;|q1b6<721q6?<h5639>75b=9m=01?jk:031?857>3;:>63<058254=:;9=1=<?4=223>46a349;>7?>0:p740=83?p1>?i:0f4?84di3;:=63>ed824c=:9ln1=<>4=52g>05<uz8m>7>59z?05`<1:278<n4>d69>6ad=98801>>9:030?857<3;:>63<068257=::on1==h4=223>477349;>7?>1:p743=83?p1>?j:0f4?84d03;:>63>ee824c=:9li1=<>4=52g>04<uz8m=7>58z?05a<1:278<o4>d69>6ad=98;01?hl:02e?84bm3;;j63=fe8257=:;9:1=<=4=221>4733ty8=94?:4y>74b=9m=01?m7:032?87bk3;;j63>ec8255=:<9n19<5rs3d3>5<>s49:o78=;<13e?7c?279h44>109>6ce=98:01?kk:033?84bm3;:=63=fe8254=:;9:1=<<4=221>4743ty8=>4?:4y>74e=9m=01?m9:031?87bj3;;j63>e`8255=:<9n19=5rs3ge>5<fs49:n78=;<13=?7c?279h44>139>6ce=98;01?kk:02e?84bm3;:<63=fe8255=:;9:1=<?4=221>475348no7??e:p744=83>p1>?m:0f4?84d>3;:=63>e`824c=:<9n18k5rs224>5<5s49:=78=;<133?7dn2wx?=;50;0x96772?801>>9:0ae?xu48:0;6?u231d927=:;9>1=nh4}r135?6=:r78<h492:?047<6ko1v?hi:181857l3<970=?0;3`b>{t:oh1<7<t=22`>34<5;lo6<mi;|q1bd<72;q6?=l5639>6`c=9jl0q~<i9;296~;48h0=>63=ee82gc=z{;l36=4={<13=?05348no7?lf:p714=838p1>:<:4`8962528im7p}<4e83>7}:;=91:85235f95f`<uz9?47>52z?006<6m916?9651bd8yv51?3:1>v3<4282gc=:;1>1=<=4}r144?6==r78854>119>71b=99l01>;8:033?852k3;;j63<7882`2=z{:>:6=4={<176?3e349?=7?lf:p71e=838p1>:=:778962d28im7p}<4683>7}:;=81=h>4=264>4ea3ty8:h4?:4y>711=98:01>:l:033?852>3;:<63<5c8255=:;>=1=i94}r15b?6==r788:4>139>71e=99l01>;9:031?852j3;;j63<7982`2=z{:>;6=4={<175?3e349?<7?lf:p71d=838p1>:>:778962e28im7p}<4783>7}:;=;1=h>4=265>4ea3ty8:n4?:4y>710=98:01>:m:033?852=3;:<63<5`8255=:;>?1=i94}r15`?6==r788;4>139>71d=99l01>;::031?852i3;;j63<7782`2=z{:9m6=4={<174?3e3498j7?lf:p71g=838p1>:?:778962f28im7p}<4483>7}:;=:1=h>4=266>4ea3ty8:l4?:4y>713=98:01>:n:033?852<3;:<63<588255=:;>91=i94}r15f?6==r78884>139>71g=99l01>;;:031?85213;;j63<7582`2=z{:>26=4={<10b?02349?57?lf:p712=838p1>=i:0g3?853<3;hj6s|37:94?3|5:>?6<??;<17=?7682789>4>119>70>=98:01>9>:0f4?xu4>00;68u23569544<5:>26<>i;<167?76:278954>0g9>724=9m=0q~=:1;296~;4=;0>n63<5082gc=z{:?h6=4={<166?02349>o7?lf:p701=838p1>;=:0g3?852?3;hj6s|34094?4|5:?96<mi;<1;0?76:2wx?8>50;0x96362<h01>;?:0ae?xu4=k0;6?u2343920=:;<h1=nh4}r162?6=:r789<4>e19>700=9jl0q~=;f;296~;4=90>n63<4g82gc=z{:?j6=4={<164?02349>m7?lf:p703=838p1>;?:0g3?852=3;hj6s|35g94?4|5:>m68l4=26f>4ea3ty8944?:3y>71`=><16?8751bd8yv52<3:1>v3<4g82a5=:;<>1=nh4}r16<?6=:r788h495:?01=<6ko1v>;<:181853m3;n<63<5282gc=z{:8h6=4={<10a?3e3499o7?lf:p763=838p1>=j:041?854=3;hj6s|39094?4|5:9n6<mi;<14=?053ty8>o4?:2y>76b==k16??m55c9>77d=9jl0q~=<4;297~;4;m0::?5233a95`6<5:9?6<mi;|q0<4<72;q6?>j51bd8961?2?80q~=i0;291~;4:j0=963;0c856>;4n00:h:523849546<5:9?6<>i;|q06d<72:q6?>m55c9>77d==k16??o51bd8yv54;3:1?v3<3b8227=:;;h1=h>4=210>4ea3ty84=4?:3y>76e=9jl01>98:708yv5bn3:19v3<2c851>;38h0=>63<f982`2=:;0<1=<<4=210>46a3ty8>44?:2y>76d==k16??o55c9>77?=9jl0q~=<2;297~;4;k0::?5233c95`6<5:996<mi;|q03c<72;q6?>l51bd896112?80q~=je;291~;4:h0=963;08856>;4n>0:h:523869546<5:996<>i;|q06=<72:q6?>o55c9>77?==k16??651bd8yv5493:1?v3<3`8227=:;;31=h>4=212>4ea3ty8;h4?:3y>76g=9jl01>9::708yv5bl3:19v3<28851>;3810=>63<f782`2=:;0>1=<<4=212>46a3ty8>:4?:2y>76?==k16??655c9>771=9jl0q~=<0;297~;4;00::?5233:95`6<5:9;6<mi;|q03a<72;q6?>751bd896132?80q~=jc;291~;4:10=963;06856>;4n<0:h:523809546<5:9;6<>i;|q063<72:q6?>655c9>771==k16??851bd8yv55n3:1?v3<398227=:;;=1=h>4=20e>4ea3ty8;n4?:3y>76>=9jl01>9<:708yv5bj3:19v3<26851>;38?0=>63<f582`2=:;081=<<4=20e>46a3ty8>84?:2y>761==k16??855c9>773=9jl0q~==e;297~;4;>0::?5233495`6<5:8n6<mi;|q03g<72;q6?>951bd896152?80q~=ja;291~;4:?0=963;04856>;4n:0:h:523829546<5:8n6<>i;|q061<72:q6?>855c9>773==k16??:51bd8yv55l3:1?v3<378227=:;;?1=h>4=20g>4ea3ty8;l4?:3y>760=9jl01>9>:708yv5b13:19v3<24851>;38=0=>63<f382`2=:;0:1=<<4=20g>46a3ty8n<4?:02x96432??01>k7:4;896c02<301>k9:4;896c22<301>k;:4;896c42<301>k=:4;896c62<301>k?:4;896d528im70:?d;335>;38m0??>5241f9067<5=:o69=?;<63`?25n2wx8<?50;35827k3?270:?b;7:?827i3?270:?9;7:?82703?270:?7;7:?827>3?270:?5;7:?827<3?270=ia;7:?85a13?270=i8;7:?85a?3?270=i6;7:?85a=3?270=i4;7:?85a;3?270=i2;7:?85c>3?270=k5;7:?85c<3?270=m1;3`b>{t;o;1<7:t=52`>34<5:lj6<j8;<1:<?768278?84>0g9~w164290?w0:?c;3g3>;50?0=>63>f88255=:<9n1?o5rs521>5<3s4>;n7?k7:?2b<<68o16=k651028916c2:30q~:?1;290~;38h0:h:521g:955`<58l<6<??;<63`?5?3ty?<=4?:5y>05?=9m=01<h8:02e?87a>3;:<63;0e803>{t;ol1<7:t=52;>4b034;m:7??f:?2b0<699168=j5459~w6`b290?w0:?7;3g3>;6n<0:<k521g69546<5=:o69=4}r1e`?6=<r7?<;4>d69>5c2=99l01<h<:033?827l3>97p}<fb83>1}:<9?1=i94=0d0>46a34;m>7?>0:?74a<392wx?kl50;1x916328n<70?i2;33b>;38m0?<6s|3ed94?5|5:lj6;<4=2g;>4b0349jn7??f:p7ac=839p1>h6:70896c028n<70=n9;324>{t;mn1<7=t=2d;>34<5:o=6<j8;<1b=?77n2wx?im50;1x96`02?801>k::0f4?85f?3;:<6s|3e`94?5|5:l=6;<4=2g7>4b0349j;7??f:p7ag=839p1>h::70896c428n<70=n5;324>{t;m31<7=t=2d7>34<5:o96<j8;<1b1?77n2wx?i650;1x96`42?801>k>:0f4?85f;3;:<6s|3e594?5|5:l96;<4=2g3>4b0349j?7??f:p7gb=839p1>k7:70896b128n<70=l6;33b>{t;ki1<7:t=2g4>34<5:n>6<j8;<1`1?77n278o;4>119~w6de290>w0=j6;41?85c<3;o;63<c48255=:;j91==h4=2a4>46a3ty8nl4?:6y>7`3=>;16?i<51e5896e228;:70=l3;324>;4k>0:==523b3955`<5:n86<??;|q0f<<72>q6?h:5639>7a6=9m=01>m::031?85d;3;:=63<c78254=:;j;1=<>4=2f2>46a3ty8n54?:9y>7`5=>;16?nk51e5896e228;870=l3;326>;4k?0:=?523b39547<5:n:6<?>;<1`b?77n2wx?o950;5x96c52?801>ml:0f4?85em3;;j63<c08251=:;m;1=<:4=2ag>46a349hj7?>3:p7g0=832p1>k>:70896ef28n<70=me;324>;4k80:=>523e39545<5:io6<?=;<1`f?77n278ok4>139~w6d22902w0=j0;41?85d03;o;63<bd8254=:;j;1=<<4=2a:>477349o=7?>2:?0ga<69816?nl5103896ea28;:7p}<c783>7}:;m<1:?523b495f`<uz9h87>52z?0`0<1:278o84>cg9~w6e52909w0=k4;41?85d;3;hj6s|3c194?1|5:n96874=2f3>0?<5:in6874=2a`>0?<5:ij6874=2a;>0?<5:h?6<mi;|q0`6<72;q6?i<5639>7a5=9jl0q~=k1;296~;4l90=>63<d082gc=z{:im6=4={<1`a?05349hj7?lf:p7fb=838p1>ml:70896ec28im7p}<cc83>7}:;jk1:?523b`95f`<uz9h57>52z?0g=<1:278o44>cg9~w7g62903w0<84;41?84ej3;o;63=a98255=::hk1=<>4=3ca>475348jh7?>3:?1ec<69=16>l=51028yv41j3:1?v3=7582`2=::jo1==h4=3g2>46a3ty9m:4?:2y>625=>;16>l651bd897d628;?7p}=6`83>6}::>91=i94=3a`>477348oj7?>0:p6d?=838p1?9=:70897gf28im7p}=6883>6}::>81=i94=3a`>46a348oj7??f:p6de=839p1?9>:70897ge28im70<n5;320>{t:?21<7=t=352>4b0348hm7?>0:?1`a<6991v?oj:18184083<970<nd;3`b>{t:?=1<7=t=353>4b0348hm7??f:?1`a<68o1v?l?:181841n3<970<nf;3`b>{t:?<1<7=t=34e>4b0348h47?>0:?1`g<6991v?l=:181841m3<970<m1;3`b>{t:??1<7=t=34f>4b0348h47??f:?1`g<68o1v?o;:181841l3<970<n2;3`b>{t:?>1<7=t=34g>4b0348h:7?>0:?1`<<6991v?o9:181841k3<970<n5;3`b>{t:?91<7=t=34`>4b0348h:7??f:?1`<<68o1v?:7:18;846j3<970<92;3g3>;5<h0:==5225a9546<5;>o6<?=;<07b?76;2799<4>159>600=98:0q~<>2;290~;59k0:h:5238:955`<5:ki6<??;<101?7682wx>9750;1x977f2?801?:n:0ae?842;3;:86s|20394?2|5;;j6<j8;<1:2?77n278m44>139>762=98:0q~<;b;296~;5900=>63=4b82gc=z{;;;6=4;{<02=?7c?2785;4>109>7d?=98;01>=<:033?xu5<l0;6>u220:927=::=n1=nh4=37;>4733ty9<k4?:5y>64>=9m=01>7;:02e?85f?3;:>63<338255=z{;?;6=4={<023?05348?j7?lf:p65c=83>p1??8:0f4?85><3;:=63<a68254=:;:;1=<>4}r066?6=:r79=;492:?114<6ko1v?>k:187846>3;o;63<93824c=:;h?1=<<4=213>4773ty9994?:3y>643=>;16>8=51bd8yv47k3:18v3=1482`2=:;081=<?4=2c6>4763499j7?>0:p601=838p1??;:708973228im7p}=0c83>1}::8>1=i94=2;3>46a349j?7?>2:?06`<6991v?;6:181846;3<970<:8;3`b>{t:9k1<7:t=330>4b03492<7?>1:?0e6<69816??j51028yv4?n3:1>v3=bc856>;5110:h:5rs3:f>5<5s48im78=;<0:3?7c?2wx>l>50;5x97df28n<70<n8;33b>;5ih0:<k522``9547<5;ko6<?=;<0bb?76;279m>4>109~w7>c2909w0<m9;41?84>>3;o;6s|28d94?1|5;h26<j8;<0be?769279mo4>119>6db=98;01?oi:031?84e93;:>63=a38256=z{;2h6=4={<0a<?05348297?k7:p6<c=83<p1?l7:0f4?84fj3;;j63=ae8255=::hl1=<?4=3`2>474348j?7??f:p6=d=838p1?l8:70897?328n<7p}=9e83>3}::k=1=i94=3cg>46a348jj7?>0:?1e0<69816>o?5102897g528;:7p}=8`83>7}::k<1:?5228195a1<uz82o7>55z?1f3<6l>16>lh511d897g228;970<m1;325>;5i;0:=?5rs3::>5<5s48i978=;<0:6?7c?2wx>4l50;6x97d228n<70<n5;33b>;5j80:<k522`0955`<uz8347>52z?1f1<1:2795<4>d69~w7?f2908w0<m4;3g3>;5i<0:==522`09546<uz83;7>52z?1f6<1:2795=4>d69~w7?>2909w0<m3;3g3>;5i<0:=>5rs315>5<5s48=>78=;<00b?7c?2wx>>;50;0x97062?801?=j:0f4?xu5<>0;6:u227395a1<5;>j6<>i;<07g?77n2798i4>109>61`=98801?;>:030?842>3;:=6s|22694?4|5;<;6;<4=31g>4b03ty98;4?:6y>636=9m=01?:l:032?843l3;:<63=4g8254=::<;1=<<4=370>475348>97?>3:p665=838p1?;i:708975d28n<7p}=4483>3}::<l1=i94=36g>46a348?j7?>0:?114<69816>8=51018973128:m7p}=3383>7}::<o1:?5222`95a1<uz8?87>56z?11`<6l>16>9h511d8973628;;70<:8;325>;5=:0:==522479547<uz88=7>52z?11a<1:279?l4>d69~w724290>w0<:d;3g3>;5=80:<k5224:9544<5;?86<?>;<061?76:2wx>>>50;0x973d2?801?=6:0f4?xu5<;0;69u224a95a1<5;?36<>i;<067?77n279984>0g9~w74a2909w0<:b;41?84403;o;6s|25394?5|5;?i6<j8;<06<?768279984>119~w74b2909w0<:a;41?844?3;o;6s|25294?4|5;?j6<j8;<06<?76;2wx>:j50;0x97??2?801?69:0f4?xu5?j0;6?u2285927=::1?1=i94}r04f?6=:r795;492:?1<1<6l>1v?9n:18184>=3<970<73;3g3>{t:>31<7<t=3;7>34<5;296<j8;|q13=<72;q6>4=5639>6=7=9m=0q~<87;296~;51;0=>63=8182`2=z{;==6=4={<0:5?05348<j7?k7:p623=838p1?7?:708971b28n<7p}=2583>7}:::l1:?5223f95a1<uz89?7>52z?17`<1:279>n4>d69~w7452909w0<<d;41?845j3;o;6s|23394?4|5;9h6;<4=30b>4b03ty9>=4?:3y>66d=>;16>?751e58yv46n3:1>v3=3`856>;5:10:h:5rs33f>5<5s488578=;<013?7c?2wx><j50;0x975?2?801?<9:0f4?xu59j0;6?u2225927=::;?1=i94}r035?6=:r79>n492:?2b4<6ko1v?>?:181845j3<970?i0;3`b>{t9ol1<7<t=30b>34<58om6<mi;|q2b`<72;q6>?75639>5`c=9jl0q~?id;296~;5:10=>63>ee82gc=z{8lh6=4={<013?0534;no7?lf:p5cd=838p1?<9:70894ce28im7p}>f`83>7}::;?1:?521dc95f`<uz8;57>52z?1<0<1:27:j44>cg9~w76?2909w0<74;41?87a03;hj6s|21594?4|5;286;<4=0d4>4ea3ty9<;4?:3y>6=4=>;16=k851bd8yv47=3:1>v3=80856>;6n<0:ok5rs327>5<5s483<78=;<3e0?7dn2wx>==50;0x971a2?801<h<:0ae?xu58;0;6?u226g927=:9o81=nh4}r63a?6=:r7?<k4>cg9>05b=9980q~<ie;290~;5nj0:ok523149542<5::?6<?<;<133?76<2wx?oh50;6x96db28im70=l5;320>;4k:0:=>523b49542<uz;2o7>52z?04=<6ko16?=951018yv7e93:1>v3<c682gc=:;j<1=<=4}r3;6?6=:r799;4>cg9>603=98>0q~?67;296~;5i:0:ok522`09542<uz>947>52z?76=<6ko168?;5639~w6>32909w0=73;41?85?<3;hj6s|3b294?4|5:i:6<mi;<1g7?7692wv8;>:181[32927>:7;:1:&76g<6j>1v8;7:181[32027>:7;:8:&76g<6j11v8:;:181[33<27>:7;;4:&76g<6k;1v97j:181[20m27>:7:8e:&76g<6?>1v97l:181[20l27>:7:8d:&76g<60;1v97n:181[20j27>:7:8b:&76g<60m1v976:181[20i27>:7:8a:&76g<61=1v977:181[20127>:7:89:&76g<61?1v978:181[20027>:7:88:&76g<61>1v979:181[20?27>:7:87:&76g<6101v97::181[20>27>:7:86:&76g<61h1v97;:181[20=27>:7:85:&76g<61k1v97<:181[20<27>:7:84:&76g<61m1v97=:181[20;27>:7:83:&76g<61l1v97>:181[20:27>:7:82:&76g<61o1v96i:181[20827>:7:80:&76g<6i91v96j:181[21n27>:7:9f:&76g<6i81v96k:181[21m27>:7:9e:&76g<6i;1v96l:181[21l27>:7:9d:&76g<6i:1v96m:181[21k27>:7:9c:&76g<6i=1v96n:181[21j27>:7:9b:&76g<6i<1v966:181[21i27>:7:9a:&76g<6i?1v967:181[21127>:7:99:&76g<6i>1v968:181[21027>:7:98:&76g<6i11v969:181[21?27>:7:97:&76g<6i01v9o::181[2?<27>:7:74:&76g<6ih1v9o;:181[2?;27>:7:73:&76g<6ik1v9o<:181[2?:27>:7:72:&76g<6ij1v9o=:181[2?927>:7:71:&76g<6im1v9o>:181[2?827>:7:70:&76g<6il1v9o?:181[20n27>:7:8f:&76g<6io1v97i:181[20k27>:7:8c:&76g<6j91v97m:181[20927>:7:81:&76g<6j;1v97?:181[21>27>:7:96:&76g<6j:1v96::181[21=27>:7:95:&76g<6j=1v88<:181[31;27>:7;93:&76g<6j<1v8:i:181[33n27>:7;;f:&76g<6j?1v8=?:181[35>27>:7;=6:&76g<6j01v8<j:181[35=27>:7;=5:&76g<6jh1v8<k:181[35<27>:7;=4:&76g<6jk1v8<l:181[35;27>:7;=3:&76g<6jj1v8<m:181[35:27>:7;=2:&76g<6jm1v8<n:181[35927>:7;=1:&76g<6jl1v8<6:181[35827>:7;=0:&76g<6jo1v8<7:181[36n27>:7;>f:&76g<6k91v8<8:181[36m27>:7;>e:&76g<6k81v8:<:181[34127>:7;<9:&76g<6k:1v8:>:181[34027>:7;<8:&76g<6k=1v8:?:181[34?27>:7;<7:&76g<6k<1v8=i:181[34>27>:7;<6:&76g<6k?1v8=j:181[34=27>:7;<5:&76g<6k>1v8=k:181[34<27>:7;<4:&76g<6k11v8=l:181[34;27>:7;<3:&76g<6k01v8=m:181[34:27>:7;<2:&76g<6kh1v8=n:181[34927>:7;<1:&76g<6kk1v9ji:181[2en27>:7:mf:&76g<6>o1v9jk:181[2em27>:7:me:&76g<6?91v9jm:181[2ek27>:7:mc:&76g<6?81v9jn:181[2ej27>:7:mb:&76g<6?;1v9j6:181[2ei27>:7:ma:&76g<6?:1v9j7:181[2e127>:7:m9:&76g<6?=1v9j8:181[2e027>:7:m8:&76g<6?<1v9j9:181[2e?27>:7:m7:&76g<6??1v9j::181[2e>27>:7:m6:&76g<6?11v9j;:181[2e=27>:7:m5:&76g<6?01v9j<:181[2e<27>:7:m4:&76g<6?h1v9j=:181[2e;27>:7:m3:&76g<6?k1v9j?:181[2e927>:7:m1:&76g<6?j1v9mi:181[2e827>:7:m0:&76g<6?m1v9mj:181[2fn27>:7:nf:&76g<6?l1v9mk:181[2fm27>:7:ne:&76g<6?o1v9ml:181[2fl27>:7:nd:&76g<6091v9mm:181[2fk27>:7:nc:&76g<6081v9mn:181[2fj27>:7:nb:&76g<60:1v9m6:181[2fi27>:7:na:&76g<60=1v9m7:181[2f127>:7:n9:&76g<60<1v9m8:181[2f027>:7:n8:&76g<60?1v9k9:181[2d=27>:7:l5:&76g<60>1v9k::181[2d<27>:7:l4:&76g<6011v9k;:181[2d;27>:7:l3:&76g<6001v9k<:181[2d:27>:7:l2:&76g<60h1v9k=:181[2d927>:7:l1:&76g<60k1v9k>:181[2d827>:7:l0:&76g<60j1v9k?:181[2el27>:7:md:&76g<60l1v9jl:181[2e:27>:7:m2:&76g<60o1v9j>:181[2f?27>:7:n7:&76g<6191v9m9:181[2f>27>:7:n6:&76g<6181v9kj:181[2bm27>:7:je:&76g<61;1v9k7:181[2b027>:7:j8:&76g<61:1v8;9:181[32>27>:7;:6:&76g<61<1v8?<:181[36;27>:7;>3:&76g<6111vqco>7;296~N3:j1vbl?7:181M25k2wem<750;0xL14d3tdj=l4?:3yK07e<ugk:n7>52zJ76f=zfh;h6=4={I61g>{ii8n1<7<tH50`?xhf9l0;6?uG43a8ykg6n3:1>vF;2b9~jd472909wE:=c:me77=838pD9<l;|lb67<72;qC8?m4}oc17?6=:rB?>n5rn`07>5<5sA>9o6saa3794?4|@=8h7p`n2783>7}O<;i0qco=7;296~N3:j1vbl<7:181M25k2wem?750;0xL14d3tdj>l4?:3yK07e<ugk9n7>52zJ76f=zfh8h6=4={I61g>{ii;n1<7<tH50`?xhf:l0;6?uG43a8ykg5n3:1>vF;2b9~jd572909wE:=c:me67=838pD9<l;|lb77<72;qC8?m4}oc07?6=:rB?>n5rn`17>5<5sA>9o6saa2794?4|@=8h7p`n3783>7}O<;i0qco<7;296~N3:j1vbl=7:181M25k2wem>750;0xL14d3tdj?l4?:3yK07e<ugk8n7>52zJ76f=zfh9h6=4={I61g>{ii:n1<7<tH50`?xhf;l0;6?uG43a8ykg4n3:1>vF;2b9~jd272909wE:=c:me17=838pD9<l;|lb07<72;qC8?m4}oc77?6=:rB?>n5rn`67>5<5sA>9o6saa5794?4|@=8h7p`n4783>7}O<;i0qco;7;296~N3:j1vbl:7:181M25k2wem9750;0xL14d3tdj8l4?:3yK07e<ugk?n7>52zJ76f=zfh>h6=4={I61g>{ii=n1<7<tH50`?xhf<l0;6?uG43a8ykg3n3:1>vF;2b9~jd372909wE:=c:me07=838pD9<l;|lb17<72;qC8?m4}oc67?6=:rB?>n5rn`77>5<5sA>9o6saa4794?4|@=8h7p`n5783>7}O<;i0qco:7;296~N3:j1vbl;7:181M25k2wem8750;0xL14d3tdj9l4?:3yK07e<ugk>n7>52zJ76f=zfh?h6=4={I61g>{ii<n1<7<tH50`?xhf=l0;6?uG43a8ykg2n3:1>vF;2b9~jd072909wE:=c:me37=838pD9<l;|lb27<72;qC8?m4}oc57?6=:rB?>n5rn`47>5<5sA>9o6saa7794?4|@=8h7p`n6783>7}O<;i0qco97;296~N3:j1vbl87:181M25k2wem;750;0xL14d3tdj:l4?:3yK07e<ugk=n7>52zJ76f=zfh<h6=4={I61g>{ii?n1<7<tH50`?xhf>l0;6?uG43a8ykg1n3:1>vF;2b9~jd172909wE:=c:me27=838pD9<l;|l;f6<728qC8?m4}o:g<?6=9rB?>n5rn9f:>5<6sA>9o6sa8ec94?7|@=8h7p`7dc83>4}O<;i0qc6kc;295~N3:j1vb5jk:182M25k2we4ik50;3xL14d3td3hk4?:0yK07e<ug2n<7>51zJ76f=zf1o:6=4>{I61g>{i0l81<7?tH50`?xh?m:0;6<uG43a8yk>b<3:1=vF;2b9~j=c2290:wE:=c:m<`0=83;pD9<l;|l;a2<728qC8?m4}o:f<?6=9rB?>n5rn9g:>5<6sA>9o6sa8dc94?7|@=8h7p`7ec83>4}O<;i0qc6jc;295~N3:j1vb5kk:182M25k2we4hk50;3xL14d3td3ik4?:0yK07e<ug2m<7>51zJ76f=zf1l:6=4>{I61g>{i0o81<7?tH50`?xh?n:0;6<uG43a8yk>a<3:1=vF;2b9~j=`2290:wE:=c:m<c0=83;pD9<l;|l;b2<728qC8?m4}o:e<?6=9rB?>n5rn9d:>5<6sA>9o6sa8gc94?7|@=8h7p`7fc83>4}O<;i0qc6ic;295~N3:j1vb5hk:182M25k2we4kk50;3xL14d3td3jk4?:0yK07e<ug3;<7>51zJ76f=zf0::6=4>{I61g>{i1981<7?tH50`?xh>8:0;6<uG43a8yk?7<3:1=vF;2b9~j<62290:wE:=c:m=50=83;pD9<l;|l:42<728qC8?m4}o;3<?6=9rB?>n5rn82:>5<6sA>9o6sa91c94?7|@=8h7p`60c83>4}O<;i0qc7?c;295~N3:j1vb4>k:182M25k2we5=k50;3xL14d3td2<k4?:0yK07e<ug3:<7>51zJ76f=zf0;:6=4>{I61g>{i1881<7?tH50`?xh>9:0;6<uG43a8yk?6<3:1=vF;2b9~j<72290:wE:=c:m=40=83;pD9<l;|l:52<728qC8?m4}o;2<?6=9rB?>n5rn83:>5<6sA>9o6sa90c94?7|@=8h7p`61c83>4}O<;i0qc7>c;295~N3:j1vb4?k:182M25k2we5<k50;3xL14d3td2=k4?:0yK07e<ug39<7>51zJ76f=zf08:6=4>{I61g>{i1;81<7?tH50`?xh>::0;6<uG43a8yk?5<3:1=vF;2b9~j<42290:wE:=c:m=70=83;pD9<l;|l:62<728qC8?m4}o;1<?6=9rB?>n5rn80:>5<6sA>9o6sa93c94?7|@=8h7p`62c83>4}O<;i0qc7=c;295~N3:j1vb4<k:182M25k2we5?k50;3xL14d3td2>k4?:0yK07e<ug38<7>51zJ76f=zf09:6=4>{I61g>{i1:81<7?tH50`?xh>;:0;6<uG43a8yk?4<3:1=vF;2b9~j<52290:wE:=c:m=60=83;pD9<l;|l:72<728qC8?m4}o;0<?6=9rB?>n5rn81:>5<6sA>9o6sa92c94?7|@=8h7p`63c83>4}O<;i0qc7<c;295~N3:j1vb4=k:182M25k2we5>k50;3xL14d3td2?k4?:0yK07e<ug3?<7>51zJ76f=zf0>:6=4>{I61g>{i1=81<7?tH50`?xh><:0;6<uG43a8yk?3<3:1=vF;2b9~j<22290:wE:=c:m=10=83;pD9<l;|l:02<728qC8?m4}o;7<?6=9rB?>n5rn86:>5<6sA>9o6sa95c94?7|@=8h7p`64c83>4}O<;i0qc7;c;295~N3:j1vb4:k:182M25k2we59k50;3xL14d3td28k4?:0yK07e<ug3><7>51zJ76f=zf0?:6=4>{I61g>{i1<81<7?tH50`?xh>=:0;6<uG43a8yk?2<3:1=vF;2b9~j<32290:wE:=c:m=00=83;pD9<l;|l:12<728qC8?m4}o;6<?6=9rB?>n5rn87:>5<6sA>9o6sa94c94?7|@=8h7p`65c83>4}O<;i0qc7:c;295~N3:j1vb4;k:182M25k2we58k50;3xL14d3td29k4?:0yK07e<ug3=<7>51zJ76f=zf0<:6=4>{I61g>{i1?81<7?tH50`?xh>>:0;6<uG43a8yk?1<3:1=vF;2b9~j<02290:wE:=c:m=30=83;pD9<l;|l:22<728qC8?m4}o;5<?6=9rB?>n5rn84:>5<6sA>9o6sa97c94?7|@=8h7p`66c83>4}O<;i0qc79c;295~N3:j1vb48k:182M25k2we5;k50;3xL14d3td2:k4?:0yK07e<ug3<<7>51zJ76f=zf0=:6=4>{I61g>{i1>81<7?tH50`?xh>?:0;6<uG43a8yk?0<3:1=vF;2b9~j<12290:wE:=c:m=20=83;pD9<l;|l:32<728qC8?m4}o;4<?6=9rB?>n5rn85:>5<6sA>9o6sa96c94?7|@=8h7p`67c83>4}O<;i0qc78c;295~N3:j1vb49k:182M25k2we5:k50;3xL14d3td2;k4?:0yK07e<ug33<7>51zJ76f=zf02:6=4>{I61g>{i1181<7?tH50`?xh>0:0;6<uG43a8yk??<3:1=vF;2b9~j<>2290:wE:=c:m==0=83;pD9<l;|l:<2<728qC8?m4}o;;<?6=9rB?>n5rn8::>5<6sA>9o6sa99c94?7|@=8h7p`68c83>4}O<;i0qc77c;295~N3:j1vb46k:182M25k2we55k50;3xL14d3td24k4?:0yK07e<ug32<7>51zJ76f=zf03:6=4>{I61g>{i1081<7?tH50`?xh>1:0;6<uG43a8yk?><3:1=vF;2b9~j<?2290:wE:=c:m=<0=83;pD9<l;|l:=2<728qC8?m4}o;:<?6=9rB?>n5rn8;:>5<6sA>9o6sa98c94?7|@=8h7p`69c83>4}O<;i0qc76c;295~N3:j1vb47k:182M25k2we54k50;3xL14d3td25k4?:0yK07e<ug3j<7>51zJ76f=zf0k:6=4>{I61g>{i1h81<7?tH50`?xh>i:0;6<uG43a8yk?f<3:1=vF;2b9~j<g2290:wE:=c:m=d0=83;pD9<l;|l:e2<728qC8?m4}o;b<?6=9rB?>n5rn8c:>5<6sA>9o6sa9`c94?7|@=8h7p`6ac83>4}O<;i0qc7nc;295~N3:j1vb4ok:182M25k2we5lk50;3xL14d3td2mk4?:0yK07e<ug3i<7>51zJ76f=zf0h:6=4>{I61g>{i1k81<7?tH50`?xh>j:0;6<uG43a8yk?e<3:1=vF;2b9~j<d2290:wE:=c:m=g0=83;pD9<l;|l:f2<728qC8?m4}o;a<?6=9rB?>n5rn8`:>5<6sA>9o6sa9cc94?7|@=8h7p`6bc83>4}O<;i0qc7mc;295~N3:j1vb4lk:182M25k2we5ok50;3xL14d3td2nk4?:0yK07e<ug3h<7>51zJ76f=zf0i:6=4>{I61g>{i1j81<7?tH50`?xh>k:0;6<uG43a8yk?d<3:1=vF;2b9~j<e2290:wE:=c:m=f0=83;pD9<l;|l:g2<728qC8?m4}o;`<?6=9rB?>n5rn8a:>5<6sA>9o6sa9bc94?7|@=8h7p`6cc83>4}O<;i0qc7lc;295~N3:j1vb4mk:182M25k2we5nk50;3xL14d3td2ok4?:0yK07e<ug3o<7>51zJ76f=zf0n:6=4>{I61g>{i1m81<7?tH50`?xh>l:0;6<uG43a8yk?c<3:1=vF;2b9~j<b2290:wE:=c:m=a0=83;pD9<l;|l:`2<728qC8?m4}o;g<?6=9rB?>n5rn8f:>5<6sA>9o6sa9ec94?7|@=8h7p`6dc83>4}O<;i0qc7kc;295~N3:j1vb4jk:182M25k2we5ik50;3xL14d3td2hk4?:0yK07e<ug3n<7>51zJ76f=zf0o:6=4>{I61g>{i1l81<7?tH50`?xh>m:0;6<uG43a8yk?b<3:1=vF;2b9~j<c2290:wE:=c:m=`0=83;pD9<l;|l:a2<728qC8?m4}o;f<?6=9rB?>n5rn8g:>5<6sA>9o6sa9dc94?7|@=8h7p`6ec83>4}O<;i0qc7jc;295~N3:j1vb4kk:182M25k2we5hk50;3xL14d3td2ik4?:0yK07e<ug3m<7>51zJ76f=zf0l:6=4>{I61g>{i1o81<7?tH50`?xh>n:0;6<uG43a8yk?a<3:1=vF;2b9~j<`2290:wE:=c:m=c0=83;pD9<l;|l:b2<728qC8?m4}o;e<?6=9rB?>n5rn8d:>5<6sA>9o6sa9gc94?7|@=8h7p`6fc83>4}O<;i0qc7ic;295~N3:j1vb4hk:182M25k2we5kk50;3xL14d3td2jk4?:0yK07e<ugk;<7>51zJ76f=zfh::6=4>{I61g>{ii981<7?tH50`?xhf8:0;6<uG43a8ykg7<3:1=vF;2b9~jd62290:wE:=c:me50=83;pD9<l;|lb42<728qC8?m4}oc3<?6=9rB?>n5rn`2:>5<6sA>9o6saa1c94?7|@=8h7p`n0c83>4}O<;i0qco?c;295~N3:j1vbl>k:182M25k2wem=k50;3xL14d3tdj<k4?:0yK07e<ugk:<7>51zJ76f=zfh;:6=4>{I61g>{ii881<7?tH50`?xhf9:0;6<uG43a8ykg6<3:1=vF;2b9~jd72290:wE:=c:me40=83;pD9<l;|~yEFDsj:o6nl;bg::7xFGJr:vLM^t}AB
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment